Bài Giảng Môn Thiết Kế Vi Mạch Lập Trình Được

140 142 0
Bài Giảng Môn Thiết Kế Vi Mạch Lập Trình Được

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

TRƯỜNG ĐH CÔNG NGHỆ THÔNG TIN VÀ TRUYỀN THÔNG KHOA CÔNG NGHỆ ĐIỆN TỬ VÀ TRUYỀN THÔNG BÀI GIẢNG MÔN THIẾT KẾ VI MẠCH LẬP TRÌNH ĐƯỢC BIÊN SOẠN : NGUYỄN THẾ DŨNG Thái Nguyên, tháng năm 2012 CuuDuongThanCong.com https://fb.com/tailieudientucntt MỤC LỤC Chương 1: KIẾN THỨC CƠ SỞ 1.1 Các khái niệm chung 1.1.1 Transistor 1.1.2 Vi mạch số tích hợp 1.1.3 Cổng logic 1.1.4 Phần tử nhớ 1.1.5 Mạch logic tổ hợp 10 1.1.6 Mạch logic 11 1.2 Các phương pháp thể thiết kế 12 1.2.1 Mô tả sơ đồ 12 1.2.2 Mô tả HDL 14 1.2 Yêu cầu với thiết kế mạch logic số 16 1.3 Các công nghệ thiết kế mạch logic số 17 1.4 Kiến trúc IC khả trình 20 1.4.2 Kiến trúc PAL 23 1.4.3 Kiến trúc PLA 23 1.4.4 Kiến trúc GAL 24 1.4.5 Kiến trúc FPGA 26 Chương 2: GIẢI PHÁP PHẦN CỨNG FPGA CỦA XILINX CHO THIẾT KẾ VI MẠCH SỐ 29 2.1 Một số giải pháp cho thiết kế mạch logic số 29 2.1.1 Khái niệm FPGA 29 2.1.2 Ứng dụng FPGA xử lý tín hiệu số 32 2.1.3 Công nghệ tái cấu trúc FPGA 33 2.2 Kiến trúc FPGA 34 2.2.1 Kiến trúc chung FPGA 34 2.2.2 So sánh cấu trúc nhỏ cấu trúc lớn: 37 2.2.3 So sánh SDRAM Programming Anti-fuse programming:38 2.3.1 Khối logic khả trình 40 2.3.3 Hệ thống kết nối khả trình 60 2.3.4 Các phần tử khác FPGA 62 2.4 Các khối giao tiếp có mạch Xilinx Spartan 3E Starter Kitboard FPGA 72 2.4.1 Các thành phần Xilinx Spartan 3E Starter Kitboard 72 2.4.2 Mạch nạp JTAG/PLATFORM FLASH XCF04 73 CuuDuongThanCong.com https://fb.com/tailieudientucntt 2.4.3 Khối nguồn Power Supply 73 2.4.4 Khối giao tiếp Keypad 73 2.4.5 Khối 8x2 Led-Diod 73 2.4.6 Khối Switch 74 2.4.7 Khối giao tiếp 4x7-seg Digits 74 2.4.8 Khối giao tiếp RS232 74 2.4.9 Khối giao tiếp USB – RS232 74 2.4.10 Khối giao tiếp PS/2 75 2.4.11 Khối giao tiếp VGA 75 2.4.12 Khối giao tiếp LCD1602A 75 2.4.13 Khối giao tiếp ADC/DAC 75 2.4.14 Khối giao tiếp Ethernet 76 Chương 3: GIẢI PHÁP PHẦN MỀM CHO THIẾT KẾ VI MẠCH SỐ 77 3.1 Giới thiệu phần mềm hỗ trợ thiết kế 77 3.1.1 Hãng Xilinx 77 3.1.2 ISE 77 3.1.3 EDK 78 3.1.4 System Generator 9.2 78 3.2 Quy trình thiết kế FPGA tổng quát 78 3.2.1 Mô tả thiết kế 80 3.2.2 Tổng hợp thiết kế 81 3.2.3 Hiện thực hóa thiết kế 87 3.2.4 Cấu hình FPGA 93 3.3 Phần mềm thiết kế ISE Xilinx 93 3.3.1 Giới thiệu mơi trường lập trình 93 Chương 4: MỘT SỐ BÀI TOÁN THIẾT KẾ MẠCH SỐ TRÊN FPGA SPARTAN-3E STARTER KIT BOARD 96 4.1 Điều khiển LED với Switch Push button 96 4.1.1 Sơ đồ khối chức 96 4.1.2 Nguyên lý hoạt động 98 4.1.3 Phân tích xây dựng tốn 98 4.1.4 Hiện thực hóa thiết kế 105 4.2 Picoblaze_pwm_control 115 4.2.1 Sơ đồ nguyên lý 115 4.2.2 Nguyên lý hoạt động 115 CuuDuongThanCong.com https://fb.com/tailieudientucntt 4.2.3 Phân tích thiết kế 115 4.2.4 Hiện thực hóa thiết kế 116 4.3 Chuyển đổi ADC 122 4.3.1 Sơ đồ nguyên lý 122 4.3.2 Nguyên lý hoạt động 123 4.3.3.Phân tích thiết kế toán 123 4.4 Điều khiển LCD 129 4.4.1 Sơ đồ khối chức 129 4.4.2 Vi điều khiển PicoBlaze 129 4.4.3 Nguyên lý hoạt động 132 4.4.4 Phân tích xây dựng tốn 132 4.4.5 Hiện thực hóa thiết kế 133 TÀI LIỆU THAM KHẢO 139 CuuDuongThanCong.com https://fb.com/tailieudientucntt Chương KIẾN THỨC CƠ SỞ 1.1 Các khái niệm chung 1.1.1 Transistor Transistor linh kiện bán dẫn thường sử dụng thiết bị khuếch đại khóa điện tử Transistor phần tử vi mạch số tích hợp, từ cổng logic đơn giản AND, OR, NOT đến loại phức tạp mạch điều khiển ngoại vi, vi điều khiển, vi xử lý Transistor khối đơn vị xây dựng nên cấu trúc mạch máy tính điện tử tất thiết bị điện tử đại khác Do đáp ứng nhanh xác nên transistor sử dụng nhiều ứng dụng tương tự số, khuếch đại, đóng cắt, điều chỉnh điện áp, điều khiển tín hiệu, tạo dao động Transistor thường kết hợp thành mạch tích hợp (IC) Hình 1.1 Transistor Transistor làm từ vật liệu bán dẫn (semiconductor), vật liệu vừa có khả dẫn điện vừa có khả làm việc vật liệu cách điện, khả thay đổi tùy theo kích thích từ bên ngồi nhiệt độ, ánh sáng, trường điện từ, dòng điện Chất bán dẫn dùng để cấu tạo transistor thường Germany (Ge) Silicon (Si) pha tạp lượng nhỏ Photpho (P) Boron (B) với mục đích tăng mật độ electron (kiểu N) tự tăng mật độ lỗ trống (kiểu P) tương ứng tinh thể bán dẫn 1.1.2 Vi mạch số tích hợp Còn gọi IC - Intergrated Circuits, chip, cấu trúc mạch điện thu nhỏ cách tích hợp chủ yếu từ transitor với mật độ cao, có linh kiện điện thụ động khác khối bán dẫn mỏng Các vi mạch tích hợp có số lượng tín hiệu đầu vào đầu để thực chức cụ thể CuuDuongThanCong.com https://fb.com/tailieudientucntt a) Hình 1.2 b) a) Mơ hình Vi mạch số tích hợp b) Vi mạch tích hợp thực tế Vi mạch tích hợp đời từ năm 1960 ứng dụng rộng rãi thực tế, tạo cách mạng lĩnh vực điện tử Ví dụ vi mạch tích hợp IC đa dụng (general purposes IC) họ 7400, 4000, dòng vi xử lý 80x86 dùng máy vi tính, chíp xử lý dùng cho điện thoại di động, máy ảnh kỹ thuật số, vi điều khiển dùng thiết bị dân dụng, ti vi, máy giặt, lò vi sóng Các vi mạch có mật độ tích hợp từ hàng vài chục đến hàng trăm triệu, đến hàng tỷ transistor miếng bán dẫn có kích thước xấp xỉ kích thước đồng xu Mật độ tích hợp định nghĩa tổng số phần tử tích cực (transistor cổng logic) chứa đơn vị diện tích khối tinh thể bán dẫn Theo mật độ tích hợp chia loại vi mạch sau: - Vi mạch cỡ nhỏ SSI (Small scale integration), có hàng chục transistor vi mạch - Vi mạch cỡ vừa MSI (Medium scale integration), có hàng trăm transistor vi mạch - Vi mạch cỡ lớn LSI (Large scale integration), có hàng ngàn đến hàng chục ngàn transistor vi mạch - Vi mạch cực lớn VLSI (Very large scale integration), có hàng vạn, hàng triệu, hàng chục triệu transistor lớn vi mạch, tới thời điểm xuất vi mạch có độ tích hợp đến hàng tỷ transitor - Vi mạch siêu lớn ULSI (Ultra large scale intergration), vi mạch có độ tích hợp với mức độ hàng triệu transistor trở lên 1.1.3 Cổng logic Cổng logic hay logic gate cấu trúc mạch điện (sơ đồ khối hình ) lắp ráp từ linh kiện điện tử để thực chức hàm logic CuuDuongThanCong.com https://fb.com/tailieudientucntt y = f(x n, x n-1, , x1, x0) Trong tín hiệu vào xn-1, x n-2, , x1, x0 mạch tương ứng với biến logic x n-1, x n-2, , x1, x0 hàm Tín hiệu y mạch tương ứng với hàm logic y Với cổng thường giá trị n < Hình 1.3 Mơ hình cổng logic Giá trị tín hiệu vào có hai mức mức thấp (Low - L) mức cao (High - H) tương ứng với với hai giá trị biến logic hàm logic 1.1.3.1 Một số cổng logic bản: * Cổng logic AND Cổng AND cổng logic thực chức phép toán nhân logic với ngõ vào ngõ với ký hiệu hình vẽ: Hình 1.4 Cổng logic AND Phương trình logic mơ tả cổng logic AND: Hình 1.5 Bảng chân lý cổng logic AND Ngõ mức ngõ vào 1, ngõ hai ngõ vào Cổng AND có nhiều đầu vào Trên chip có nhiều cổng AND CuuDuongThanCong.com https://fb.com/tailieudientucntt * Cổng logic OR Cổng OR cổng logic thực chức phép toán cộng logic với ngõ vào ngõ với ký hiệu hình vẽ: Hình 1.6 Cổng logic OR Phương trình logic mơ tả cổng logic OR: Hình 1.7 Bảng chân lý cổng logic OR Ngõ mức ngõ vào 0, ngõ hai ngõ vào * Cổng logic NOT Còn gọi cổng đảo (Inverter), có hình vẽ sau: Hình 1.8 Cổng logic NOT Bảng chân lý cổng logic NOT: Hình 1.9 Bảng chân lý cổng logic NOT * Một số cổng logic thường gặp khác NAND, NOR, EX-OR, EX-NOR 1.1.3.2 Tham số thời gian cổng logic: Các mạch logic biểu diễn hệ hàm logic phát biểu là: Mọi mạch logic xây dựng từ cổng logic Đối với cổng logic có hai tham số thời gian bản: CuuDuongThanCong.com https://fb.com/tailieudientucntt Hình 1.10 Tham số thời gian cổng NOT Thời gian trễ lan truyền Tpd (Propagation delay) thời gian tối thiểu kể từ thời điểm bắt đầu xảy thay đổi từ đầu vào X thay đổi tạo thay đổi xác định đầu Y, hay nói cách khác đầu Y ổn định giá trị Tcd (Contamination delay) khoảng thời gian kể từ thời điểm xuất thay đổi đầu vào X đầu Y bắt đầu xảy ổn định Sau giai đoạn ổn định hay gọi giai đoạn chuyển tiếp tín hiệu đầu thiết lập trạng thái xác định vững bền Như Tpd > Tcd nhắc đến độ trễ cổng tới giá trị Tpd 1.1.4 Phần tử nhớ 1.1.4.1 D-Latch D-Flip Flop Latch Flip Flop phần tử nhớ quan trọng thiết kế VLSI, sơ đồ cấu tạo chi tiết mô tả trình bày kỹ mơn học Kỹ thuật số Ở phần nhắc lại tính chất Flip Flop bổ xung thêm tham số thời gian thực phần tử Bảng 1.1 D-Flip Flop D-Latch D-Latch phần tử nhớ làm việc theo mức xung, cụ thể tín hiệu Clock giá trị Q đầu giá trị đầu vào, tín hiệu Clock = giá trị đầu khơng đổi Nói cách khác D-latch làm việc cửa đóng mở tín hiệu Q D tương ứng với mức điện áp xung Clock CuuDuongThanCong.com https://fb.com/tailieudientucntt D- Flip Flop phần tử nhớ làm việc theo sườn xung, có hai dạng sườn sườn lên (rising edge) xung thay đổi từ 0->1 sườn xuống (falling edge) xung thay đổi từ 1->0 Khi khơng có u cầu đặc biệt Flip Flop làm việc với sườn xung lên thường sử dụng Khác với D-Latch giá trị đầu Flip Flop thay vào thời điểm sườn xung Với cách làm việc giá trị đầu không thay đổi suốt thời gian chu kỳ xung nhịp tín hiệu đầu vào thay đổi D- Flip-Flop hay dùng mạch có nhớ đơi nói đến phần tử nhớ thường ngầm hiểu D- Flip Flop Hình 1.11 Đồ thị thời gian D-Flip Flop D Latch Đối với D-Flip Flop D-Latch nhớ có hai tham số thời gian quan trọng Tsetup, Thold Đây tham số thời gian liệu đầu vào cổng Din để đảm bảo việc truyền liệu sang cổng Qout xác, cụ thể Flip Flop Tsetup: Là khoảng thời gian cần thiết cần giữ ổn định đầu vào trước sườn tích cực xung nhịp Clock Thold: Là khoảng thời gian tối thiểu cần giữ ổn định liệu đầu vào sau sườn tích cực xung nhịp Clock Hình 1.12 Tham số thời gian D-Flip Flop CuuDuongThanCong.com https://fb.com/tailieudientucntt 1.Nơi cắm nguồn Công tắc jumper nguồn Cổng cắm dây usb 4.Jumper chế độ, chọn m2 5.Jumper cấp nguồn cho bank0 Nên chọn 3.3V 4.3.4.2 Biên dịch nạp code - Tiến hành biên dịch file code mức thấp adc_ctrl.vhd từ file adc_ctrl.psm (đã hướng dẫn cụ thể cách biên dịch từ trước) - Vào phần mền ise tạo Project để soạn thảo file mức đỉnh picoblaze_amp_adc_control.vhd - Tiến hành gán chân theo bảng sau: Gán chân cho LED: Gán chân cho SPI: Gán chân cho Strata flash Gán chân cho LCD: Gán chân cho I/O ports on J4: 125 CuuDuongThanCong.com https://fb.com/tailieudientucntt Gán chân cho switches: Gán chân cho Press buttons: Tạo file picoblaze_amp_adc_control.ucf sau gán chân thành cơng cách kích đúp vào biểu tượng hình đây: Tiến hành add file mức thấp adc_ctrl.vhd, picoblaze_amp_adc_control.ucf vào Project.(đã hướng dẫn cách add file trước) - Biên dịch nạp file “picoblaze_amp_adc_control.bit” vào kit - Kết nối đầu vào VINA J7 với j27: 126 CuuDuongThanCong.com https://fb.com/tailieudientucntt - Dùng button thay đổi G quan sát LCD rút kết luận - Thay đổi đầu vào cách kết nối VINA với J22 127 CuuDuongThanCong.com https://fb.com/tailieudientucntt - Điều chỉnh G ,quan sát LCD rút nhận xét Chú thích: Nguồn Switch nguồn Cổng USB để nạp mã nguồn cho KIT Jumper nguồn Núm xoay (Rotary Encoder) Nút bấm LED đơn Switch LCD Cổng kết nối hình VGA 10 XC3S500E 11 LED báo nạp chương trình 128 CuuDuongThanCong.com https://fb.com/tailieudientucntt 4.4 Điều khiển LCD 4.4.1 Sơ đồ khối chức Sơ đồ mạch nguyên lý 4.4.2 Vi điều khiển PicoBlaze Trong sơ đồ mạch hầu hết thành phần nút bấm, LCD, LED quen thuộc Điểm đáng ý sơ đồ vi điều khiển PicoBlaze Câu hỏi thường gặp KIT PicoBlaze vị trí nào? Câu 129 CuuDuongThanCong.com https://fb.com/tailieudientucntt trả lời khơng đóng gói thành IC hữu hình (nhìn thấy được) mà nhúng chip XC3S500E – tức XC3S500E có vi điều khiển PicoBlaze Chính FPGA mảng cổng logic lập trình tức cấu hình lại nên hồn tồn tạo IC nằm FPGA, ví dụ ta lập trình để tạo IC họ 8051, PIC, IC chuyên dụng nằm FPGA Và để thuận tiện cho việc phát triển ứng dụng Xillinx tạo sẵn vi điều khiển PicoBlaze 4.4.2.1 Cấu trúc vi điều khiển 4.4.2.2 Lập trình biên dịch chương trình Để lập trình cho PicoBlaze ta phải sử dụng tập lệnh riêng, giống sử dụng ngôn ngữ assembly với vi điều khiển họ 8051 Công cụ soạn thảo khuyến khích sử dụng Notepad (có sẵn máy) Notepad++ (được cài đặt thêm) 130 CuuDuongThanCong.com https://fb.com/tailieudientucntt Tập lệnh PicoBlaze sau: Nhóm lệnh điều khiển: JUMP JUMP aaa JUMP NZ,aaa Z,aaa CALL CALL aaa CALL NZ,aaa Z,aaa RETUR N RETUR NZ JUMP NC,aaa CALL CALL NC,aaa C, aaa C,aaa RETUR N NZ JUMP RETUR NC RETUR N NC Nhóm lệnh xử lý tốn học: ADD sX,kk ADDCY sX,kk ADD sX,sY SUB sX,kk ADDCY sX,sY SUBCY sX,kk SUB sX,sY COMPARE sX,kk SUBCY sX,sY COMPARE sX,sY Nhóm lệnh ngắt: RETURNI ENABLE RETURNI DISABLE ENABLE INTERRUPT DISABLE INTERRUPT Nhóm lệnh logic: LOAD AND sX,kk sX,kk LOAD sX, sY AND sX, sY OR sX,kk XOR sX,kk OR sX, sY TEST sX,kk XOR sX, sY TEST sX,sY Nhóm lệnh lưu trữ: STORE sX,ss STORE sX,(sY) PETCH sX,ss PETCH sX,(sY) Nhóm lệnh dịch quay: SR0 sX SR1 sX SRX sX SRA sX RR sX SL0 sX SL1 sX SLX sX SLA sX RL sX 131 CuuDuongThanCong.com https://fb.com/tailieudientucntt Nhóm lệnh vào/ra: INPUT sX,pp INPUT sX,(sY) OUTPUT sX,pp OUTPUT sX,(sY) Chú ý: o ‘X’ ’Y’ nằm đoạn đến F o ‘kk’ nằm đoạn 00 đến FF o ‘aaa’ nằm đoạn từ 000 đến 3FF o ‘pp’ nằm đoạn từ 00 đến FF o ‘ss’ nằm đoạn từ 00 đến 3F 4.4.3 Nguyên lý hoạt động Như ta thấy sơ đồ Hình 1.1 vi điều khiển PicoBlaze kết nối với thành phần đặc biệt program_rom với bus address,instruction dây kcpsm3_reset Đây khu vực lưu trữ mã lệnh vi điều khiển PicoBlaze Với độ rộng bus address 10bits program_rom lưu trữ tới 1024 mã lệnh, bus instruction có độ rộng 18bits mã lệnh có độ dài 18bits Trong ứng dụng ta kết nối vi điều khiển PicoBlaze với LCD truyền liệu với thông qua kiểu dây (khác với sử dụng dây), chưa kể dây RS, RW, E Trong Hình 1.2 ta thấy LCD nhớ StrataFLASH có kết nối với nhau, nhà sản xuất khuyến cáo nên disable nhớ để không ảnh hưởng tới việc hiển thị LCD 4.4.4 Phân tích xây dựng tốn Ta xây dựng chương trình file mức đỉnh file mức thấp Dĩ nhiên với chương trình đơn giản ta khơng cần phải sử dụng vi điều khiển cấu hình thành file mức đỉnh (ví dụ lập trình phân kênh, hợp kênh với vhdl,…), việc hiệu phát triển chương trình phức tạp Ta liên tưởng giống chương trình ngơn ngữ C, phức tạp ta sử dụng chương trình ngược lại 132 CuuDuongThanCong.com https://fb.com/tailieudientucntt Hình 1.7 Cấu trúc chương trình File kcpsm3.vhd file control.vhd file mức thấp Trong file kcpsm3.vhd file nhà sản xuất phát triển để tạo nên vi điều khiển PicoBlaze mà ta sử dụng ta không cần phải viết lại hay sửa đổi nó, file control.vhd kết bước biên dịch từ file control.psm ghi vào program_rom để làm chức hiển thị liệu lên LCD File s3esk_startup.ucf file chứa liệu liên quan tới việc gán chân cho ứng dụng (sẽ làm rõ mục 4) File mức đỉnh file s3esk_startup.vhd, file làm nhiệm vụ kết nối tất file mức thấp ngồi cấu hình nên phần tử phân kênh, cổng AND, cổng trạng thái, DFF Hình 4.4.5 Hiện thực hóa thiết kế Chạy chương trình StartAll ProgramsXillinx ISE 8.2iProject Navigator Trên hình làm việc chọn FileNew Project Hình 1.8 Tạo Project 133 CuuDuongThanCong.com https://fb.com/tailieudientucntt Click Next, chọn hình sau click đến khơng cửa sổ xuất ProjectNew Source chọn sau: Click Next đến khơng cửa sổ xuất nữa, kết hình hình soạn thảo sau: 134 CuuDuongThanCong.com https://fb.com/tailieudientucntt Bây bạn soạn thảo file mức đỉnh hình File kcpsm3.vhd có sẵn bạn copy vào vị trí thư mục chứa project bạn, file control.vhd bạn hướng dẫn cách tạo bước cách biên dịch từ file control.psm Sau copy file mức thấp vào rồi, bước kiểm tra cú pháp chương trình Click Check Syntax bạn thấy bên góc trái có sau: 135 CuuDuongThanCong.com https://fb.com/tailieudientucntt Click chuột phải chọn Add Source cho file Sau thực ta thấy sau: Cuối bạn phải xử lý vấn đề việc gán chân cho thiết kế Ứng dụng có kết nối kể đến kết nối chân liệu LCD chẳng hạn Việc bạn phải khai báo địa chân Ta tra bảng trang 44 (Hình 1.14) trang 45 (Hình 1.15) tài liệu “Spartan-3E FPGA Starter Kit Board User Guide” 136 CuuDuongThanCong.com https://fb.com/tailieudientucntt Quay trở lại ISE, click User ConstraintsAssign Package Pins lên bảng sau: Bây nhìn lên Hình 1.14 giả sử ta bắt đầu gán chân lcd_d vào chân M15 FPGA Hãy click chuột từ bảng bên trái Hình 1.16, giữ nguyên chuột kéo sang bảng bên phải Hình 1.16 thả vào có vị trí hàng dọc mà M hàng ngang 15 Như ta hoàn thành việc gán cho chân liệu D7 LCD cho FPGA, tiếp tục với chân lại bảng bên trái Ngồi cần phải kích chọn liệu khác bảng bên trái Hình 1.16 theo Hình 1.1, chọn IOSTANDARD LVCMOS33,… Cuối tất sẵn sàng để tạo file file có bit sử dụng để nạp vào Xllinx Spartan-3E Click vào Generate Programming FileConfigure Device (iMPACT) Đợi lát để kiểm tra lại toàn thiết kế, khơng có sai sót bảng sau ra: 137 CuuDuongThanCong.com https://fb.com/tailieudientucntt Click Finish 138 CuuDuongThanCong.com https://fb.com/tailieudientucntt TÀI LIỆU THAM KHẢO [1] Nguyễn Thúy Vân, 2005, Thiết kế logic mạch số, NXB Khoa học kỹ thuật [2] Vũ Chiến Thắng, Giáo trình Thiết kế mạch máy vi tính [3] Học viện kỹ thuật quân sự, Bài giảng thiết kế mạch logic số [4] Tống Văn On, Thiết kế mạch số với VHDL Verilog Tập + 2, NXB Lao động xã hội [5] Volnei A Pedroni, Circuit Design with VHDL, MIT Press Cambridge, Massachusetts London, England, 2004 [6] Xilinx Ltd, Spartan-3E Starter Kit Board User Guide [7] Xilinx - Spartan-3E FPGA Family Datasheet 139 CuuDuongThanCong.com https://fb.com/tailieudientucntt ... số Vi mạch số đơn giản thiết kế thủ công (Manual IC design), với vi mạch số cỡ lớn trình thiết kế buộc phải sử dụng chương trình hỗ trợ thiết kế máy tính (Design Automation) Manual design: Vi mạch. .. chia loại vi mạch sau: - Vi mạch cỡ nhỏ SSI (Small scale integration), có hàng chục transistor vi mạch - Vi mạch cỡ vừa MSI (Medium scale integration), có hàng trăm transistor vi mạch - Vi mạch cỡ... nghệ CMOS cho nút mạng lập trình, cho phép mảng lập trình xóa lập trình lại được 1.4.3 Kiến trúc PLA PLA, vi t tắt "Programmable Logic Array" tiếng Anh, thuật ngữ vi mạch lập trình dùng mảng logic

Ngày đăng: 24/12/2019, 22:37

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan