bài giảng môn thiết kế vi mạch lập trình được - nguyễn thế dũng

140 851 4
bài giảng môn thiết kế vi mạch lập trình được - nguyễn thế dũng

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

TRƯỜNG ĐH CÔNG NGHỆ THÔNG TIN VÀ TRUYỀN THÔNG KHOA CÔNG NGHỆ ĐIỆN TỬ VÀ TRUYỀN THÔNG BÀI GIẢNG MÔN THIẾT KẾ VI MẠCH LẬP TRÌNH ĐƯỢC BIÊN SOẠN : NGUYỄN THẾ DŨNG Thái Nguyên, tháng 8 năm 2012 1 MỤC LỤC Chương 1: KIẾN THỨC CƠ SỞ 4 1.1. Các khái niệm chung 4 1.1.1. Transistor 4 1.1.2. Vi mạch số tích hợp 4 1.1.3. Cổng logic 5 1.1.4. Phần tử nhớ 8 1.1.5. Mạch logic tổ hợp 10 1.1.6. Mạch logic tuần tự 11 1.2. Các phương pháp thể hiện thiết kế 12 1.2.1. Mô tả bằng sơ đồ 12 1.2.2. Mô tả bằng HDL 14 1.2. Yêu cầu với một thiết kế mạch logic số 16 1.3. Các công nghệ thiết kế mạch logic số 17 1.4. Kiến trúc của các IC khả trình 20 1.4.2. Kiến trúc PAL 23 1.4.3. Kiến trúc PLA 23 1.4.4. Kiến trúc của GAL 24 1.4.5. Kiến trúc của FPGA 26 Chương 2: GIẢI PHÁP PHẦN CỨNG FPGA CỦA XILINX CHO THIẾT KẾ VI MẠCH SỐ 29 2.1. Một số giải pháp cho thiết kế mạch logic số 29 2.1.1. Khái niệm FPGA 29 2.1.2. Ứng dụng của FPGA trong xử lý tín hiệu số 32 2.1.3. Công nghệ tái cấu trúc FPGA 33 2.2. Kiến trúc của FPGA 34 2.2.1. Kiến trúc chung FPGA 34 2.2.2. So sánh giữa cấu trúc nhỏ và cấu trúc lớn: 37 2.2.3. So sánh giữa SDRAM Programming và Anti-fuse programming:38 2.3.1. Khối logic khả trình 40 2.3.3. Hệ thống kết nối khả trình 60 2.3.4. Các phần tử khác của FPGA 62 2.4. Các khối giao tiếp có trên mạch Xilinx Spartan 3E Starter Kitboard FPGA.72 2.4.1. Các thành phần chính của Xilinx Spartan 3E Starter Kitboard 72 2.4.2. Mạch nạp JTAG/PLATFORM FLASH XCF04 73 2 2.4.3. Khối nguồn Power Supply 73 2.4.4. Khối giao tiếp Keypad 73 2.4.5. Khối 8x2 Led-Diod 73 2.4.6. Khối Switch 74 2.4.7. Khối giao tiếp 4x7-seg Digits 74 2.4.8. Khối giao tiếp RS232 74 2.4.9. Khối giao tiếp USB – RS232 74 2.4.10. Khối giao tiếp PS/2 75 2.4.11. Khối giao tiếp VGA 75 2.4.12. Khối giao tiếp LCD1602A 75 2.4.13. Khối giao tiếp ADC/DAC 75 2.4.14. Khối giao tiếp Ethernet 76 Chương 3: GIẢI PHÁP PHẦN MỀM CHO THIẾT KẾ VI MẠCH SỐ 77 3.1. Giới thiệu các phần mềm hỗ trợ thiết kế 77 3.1.1. Hãng Xilinx 77 3.1.2. ISE 77 3.1.3. EDK 78 3.1.4. System Generator 9.2 78 3.2. Quy trình thiết kế FPGA tổng quát 78 3.2.1. Mô tả thiết kế 80 3.2.2. Tổng hợp thiết kế 81 3.2.3. Hiện thực hóa thiết kế 87 3.2.4. Cấu hình FPGA 93 3.3. Phần mềm thiết kế ISE của Xilinx 93 3.3.1. Giới thiệu môi trường lập trình 93 Chương 4: MỘT SỐ BÀI TOÁN THIẾT KẾ MẠCH SỐ TRÊN FPGA SPARTAN-3E STARTER KIT BOARD 96 4.1. Điều khiển LED với Switch và Push button 96 4.1.1. Sơ đồ khối chức năng 96 4.1.2. Nguyên lý hoạt động 98 4.1.3. Phân tích xây dựng bài toán 98 4.1.4. Hiện thực hóa thiết kế 105 4.2. Picoblaze_pwm_control 115 4.2.1. Sơ đồ nguyên lý 115 4.2.2. Nguyên lý hoạt động 115 3 4.2.3. Phân tích thiết kế 115 4.2.4. Hiện thực hóa thiết kế 116 4.3. Chuyển đổi ADC 122 4.3.1. Sơ đồ nguyên lý 122 4.3.2. Nguyên lý hoạt động 123 4.3.3.Phân tích thiết kế bài toán 123 4.4. Điều khiển LCD 129 4.4.1. Sơ đồ khối chức năng 129 4.4.2. Vi điều khiển PicoBlaze 129 4.4.3. Nguyên lý hoạt động 132 4.4.4. Phân tích xây dựng bài toán 132 4.4.5. Hiện thực hóa thiết kế 133 TÀI LIỆU THAM KHẢO 139 4 Chương 1 KIẾN THỨC CƠ SỞ 1.1. Các khái niệm chung 1.1.1. Transistor Transistor là một linh kiện bán dẫn thường được sử dụng như một thiết bị khuếch đại hoặc một khóa điện tử. Transistor là phần tử cơ bản của mọi vi mạch số tích hợp, từ các cổng logic đơn giản AND, OR, NOT đến các loại phức tạp như các mạch điều khiển ngoại vi, vi điều khiển, vi xử lý Transistor là khối đơn vị cơ bản xây dựng nên cấu trúc mạch ở máy tính điện tử và tất cả các thiết bị điện tử hiện đại khác. Do đáp ứng nhanh và chính xác nên các transistor được sử dụng trong nhiều ứng dụng tương tự và số, như khuếch đại, đóng cắt, điều chỉnh điện áp, điều khiển tín hiệu, và tạo dao động. Transistor cũng thường được kết hợp thành mạch tích hợp (IC). Hình 1.1. Transistor Transistor được làm từ vật liệu bán dẫn (semiconductor), là vật liệu vừa có khả năng dẫn điện vừa có khả năng làm việc như những vật liệu cách điện, khả năng này thay đổi tùy theo kích thích từ bên ngoài như nhiệt độ, ánh sáng, trường điện từ, dòng điện. Chất bán dẫn dùng để cấu tạo transistor thường là Germany (Ge) hoặc Silicon (Si) được pha tạp một lượng nhỏ Photpho (P) hoặc Boron (B) với mục đích tăng mật độ electron (kiểu N) tự do hoặc tăng mật độ lỗ trống (kiểu P) tương ứng trong tinh thể bán dẫn. 1.1.2. Vi mạch số tích hợp Còn được gọi là IC - Intergrated Circuits, chip, là cấu trúc mạch điện được thu nhỏ bằng cách tích hợp chủ yếu từ các transitor với mật độ cao, ngoài ra còn có thể có các linh kiện điện thụ động khác trên một khối bán dẫn mỏng. Các vi mạch tích hợp đều có một số lượng tín hiệu đầu vào và đầu ra để thực hiện một chức năng cụ thể nào đó. 5 a) b) Hình 1.2. a) Mô hình Vi mạch số tích hợp b) Vi mạch tích hợp thực tế Vi mạch tích hợp ra đời từ những năm 1960 và được ứng dụng rộng rãi trong thực tế, đã và đang tạo ra cuộc cách mạng trong lĩnh vực điện tử. Ví dụ về vi mạch tích hợp như các IC đa dụng (general purposes IC) họ 7400, 4000, các dòng vi xử lý 80x86 dùng trong máy vi tính, chíp xử lý dùng cho điện thoại di động, máy ảnh kỹ thuật số, các vi điều khiển dùng trong các thiết bị dân dụng, ti vi, máy giặt, lò vi sóng Các vi mạch này có mật độ tích hợp từ hàng vài chục đến hàng trăm triệu, và hiện nay đã đến hàng tỷ transistor trong một miếng bán dẫn có kích thước xấp xỉ kích thước đồng xu. Mật độ tích hợp được định nghĩa là tổng số những phần tử tích cực (transistor hoặc cổng logic) chứa trên một đơn vị diện tích của khối tinh thể bán dẫn. Theo mật độ tích hợp chia ra các loại vi mạch sau: - Vi mạch cỡ nhỏ SSI (Small scale integration), có hàng chục transistor trong một vi mạch. - Vi mạch cỡ vừa MSI (Medium scale integration), có hàng trăm transistor trong một vi mạch. - Vi mạch cỡ lớn LSI (Large scale integration), có hàng ngàn đến hàng chục ngàn transistor trong một vi mạch. - Vi mạch cực lớn VLSI (Very large scale integration), có hàng vạn, hàng triệu, hàng chục triệu transistor và lớn hơn trong một vi mạch, tới thời điểm hiện nay đã xuất hiện nhưng vi mạch có độ tích hợp đến hàng tỷ transitor. - Vi mạch siêu lớn ULSI (Ultra large scale intergration), vi mạch có độ tích hợp với mức độ hàng triệu transistor trở lên. 1.1.3. Cổng logic Cổng logic hay logic gate là cấu trúc mạch điện (sơ đồ khối hình ) được lắp ráp từ các linh kiện điện tử để thực hiện chức năng của các hàm logic cơ bản 6 y = f(x n , x n-1 , , x 1 , x 0 ). Trong đó các tín hiệu vào x n-1 , x n-2 , , x 1 , x 0 của mạch tương ứng với các biến logic x n-1 , x n-2 , , x 1 , x 0 của hàm . Tín hiệu ra y của mạch tương ứng với hàm logic y. Với các cổng cơ bản thường giá trị n < 4. Hình 1.3. Mô hình cổng logic cơ bản Giá trị của các tín hiệu vào và ra chỉ có hai mức là mức thấp (Low - L) và mức cao (High - H) tương ứng với với hai giá trị 0 và 1 của các biến logic và hàm logic. 1.1.3.1. Một số cổng logic cơ bản: * Cổng logic AND Cổng AND là cổng logic thực hiện chức năng của phép toán nhân logic với 2 ngõ vào và 1 ngõ ra với ký hiệu như hình vẽ: Hình 1.4. Cổng logic AND Phương trình logic mô tả cổng logic AND: Hình 1.5. Bảng chân lý cổng logic AND Ngõ ra chỉ bằng mức 1 khi cả 2 ngõ vào bằng 1, ngõ ra bằng 0 khi một trong hai ngõ vào bằng 0. Cổng AND có thể có nhiều hơn 2 đầu vào. Trên một chip có thể có nhiều cổng AND. 7 * Cổng logic OR Cổng OR là cổng logic thực hiện chức năng của phép toán cộng logic với 2 ngõ vào và 1 ngõ ra với ký hiệu như hình vẽ: Hình 1.6. Cổng logic OR Phương trình logic mô tả cổng logic OR: Hình 1.7. Bảng chân lý cổng logic OR Ngõ ra chỉ bằng mức 0 khi cả 2 ngõ vào bằng 0, ngõ ra bằng 1 khi một trong hai ngõ vào bằng 1. * Cổng logic NOT Còn gọi là cổng đảo (Inverter), có hình vẽ như sau: Hình 1.8. Cổng logic NOT Bảng chân lý của cổng logic NOT: Hình 1.9. Bảng chân lý của cổng logic NOT * Một số cổng logic thường gặp khác như NAND, NOR, EX-OR, EX-NOR 1.1.3.2. Tham số thời gian của cổng logic: Các mạch logic đều được biểu diễn bằng các hệ hàm logic và do đó có thể phát biểu là: Mọi mạch logic đều có thể xây dựng từ các cổng logic cơ bản. Đối với các cổng logic cơ bản đó thì có hai tham số thời gian cơ bản: 8 Hình 1.10. Tham số thời gian của cổng NOT Thời gian trễ lan truyền T pd (Propagation delay) là thời gian tối thiểu kể từ thời điểm bắt đầu xảy ra sự thay đổi từ đầu vào X cho tới khi sự thay đổi này tạo ra thay đổi xác định tại đầu ra Y, hay nói một cách khác cho tới khi đầu ra Y ổn định giá trị. T cd (Contamination delay) là khoảng thời gian kể từ thời điểm xuất hiện sự thay đổi của đầu vào X cho tới khi đầu ra Y bắt đầu xảy ra sự mất ổn định. Sau giai đoạn mất ổn định hay còn gọi là giai đoạn chuyển tiếp tín hiệu tại đầu ra sẽ thiết lập trạng thái xác định vững bền. Như vậy T pd > T cd và khi nhắc đến độ trễ của cổng thì là chỉ tới giá trị T pd . 1.1.4. Phần tử nhớ 1.1.4.1. D-Latch và D-Flip Flop Latch và Flip Flop là các phần tử nhớ quan trọng trong thiết kế VLSI, sơ đồ cấu tạo chi tiết và mô tả đã được trình bày kỹ trong môn học Kỹ thuật số. Ở phần này chỉ nhắc lại những tính chất cơ bản nhất của các Flip Flop và bổ xung thêm các tham số thời gian thực của các phần tử này. Bảng 1.1. D-Flip Flop và D-Latch D-Latch là phần tử nhớ làm việc theo mức xung, cụ thể khi tín hiệu Clock bằng 1 thì giá trị Q đầu ra bằng giá trị đầu vào, khi tín hiệu Clock = 0 thì giá trị đầu ra không đổi. Nói một cách khác D-latch làm việc như một cửa đóng mở giữa tín hiệu Q và D tương ứng với mức điện áp của xung Clock. 9 D- Flip Flop là phần tử nhớ làm việc theo sườn xung, có hai dạng sườn là sườn lên (rising edge) khi xung thay đổi từ 0->1 và sườn xuống (falling edge) khi xung thay đổi từ 1->0. Khi không có yêu cầu gì đặc biệt thì Flip Flop làm việc với sườn xung lên thường được sử dụng. Khác với D-Latch giá trị đầu ra của Flip Flop chỉ thay vào thời điểm sườn xung. Với cách làm việc như vậy giá trị đầu ra sẽ không thay đổi trong suốt thời gian một chu kỳ xung nhịp dù cho tín hiệu đầu vào thay đổi. D- Flip-Flop rất hay được dùng trong mạch có nhớ vì vậy đôi khi nói đến phần tử nhớ thường ngầm hiểu là D- Flip Flop. Hình 1.11. Đồ thị thời gian của D-Flip Flop và D Latch Đối với D-Flip Flop và D-Latch nhớ thì có hai tham số thời gian hết sức quan trọng là T setup , và T hold . Đây là tham số thời gian đối với dữ liệu đầu vào cổng D in để đảm bảo việc truyền dữ liệu sang cổng ra Q out là chính xác, cụ thể đối với Flip Flop. T setup : Là khoảng thời gian cần thiết cần giữ ổn định đầu vào trước sườn tích cực của xung nhịp Clock T hold : Là khoảng thời gian tối thiểu cần giữ ổn định dữ liệu đầu vào sau sườn tích cực của xung nhịp Clock. Hình 1.12. Tham số thời gian của D-Flip Flop [...]... logic lập trình được PAL cùng với PROM, PLA, GAL nằm trong nhóm những vi mạch lập trình đơn giản SPLD (Simple Programmable Logic Device) PAL ra đời cuối thập niên 1970 Cấu trúc của PAL kế thừa cấu trúc của PROM, sử dụng hai mảng logic nhưng chỉ một mảng lập trình được, Nếu như ở PROM mảng OR là mảng lập trình được thì ở PAL mảng AND lập trình được còn mảng OR được gắn cứng Vi c cho phép mảng AND lập trình. .. các thiết bị di động thì cần phải tối ưu hết mức mức tiêu tốn năng lượng bằng cách thu gọn thiết kế, giảm thiểu những tập lệnh không cần thiết và sử dụng các phần tử tiết kiệm năng lượng nhất 1.3 Các công nghệ thiết kế mạch logic số Vi mạch số đơn giản có thể được thiết kế thủ công (Manual IC design), nhưng với các vi mạch số cỡ lớn thì quá trình thiết kế buộc phải sử dụng các chương trình hỗ trợ thiết. .. gate array (FPGA) là vi mạch dùng cấu trúc mảng phần tử logic mà người dùng có thể lập trình được (Chữ field ở đây muốn chỉ đến khả năng tái lập trình “bên ngoài” của người sử dụng, không phụ thuộc vào dây chuyền sản xuất phức tạp của nhà máy bán dẫn) Vi mạch FPGA được cấu thành từ các bộ phận:  Các khối logic cơ bản lập trình được (logic block)  Hệ thống mạch liên kết lập trình được  Khối vào/ra... một thiết bị lưu trữ các tham số về mục tiêu một các an toàn và linh động Thiết bị này dùng trong máy tính của Atlas E/F và được giữ bí mật trong vòng vài năm trước khi Atlas E/F trở nên phổ biến PROM là vi t tắt của programmable Read-only memory trong tiếng Anh, hay "chíp bộ nhớ chỉ đọc lập trình được" PROM là vi mạch lập trình đầu tiên và đơn giản nhất trong nhóm các vi mạch bán dẫn lập trình được. .. dẫn lập trình được (programmable logic device, hay PLD) PROM chỉ lập trình được một lần duy nhất bằng phương pháp hàn cứng PROM có số đầu vào hạn chế, thông thường đến 16 đầu vào, vì vậy chỉ thực hiện được những hàm đơn giản PROM gọi là bộ nhớ chỉ đọc lập trình được Đây là họ vi mạch đầu tiên được sử dụng như là những vi mạch số lập trình theo quan điểm của vi mạch số Cấu trúc của PROM rất đơn giản bao... (trong đó các hệ con đó được thiết kế độc lập) - Thứ sáu là khả năng hỗ trợ thiết kế mức lớn và khả năng sử dụng lại các thiết kế: VHDL được phát triển như một ngôn ngữ lập trình bậc cao, vì vậy nó có thể được sử dụng để thiết kế một hệ thống lớn với sự tham gia của một nhóm nhiều người Bên trong ngôn ngữ VHDL có nhiều tính năng hỗ trợ vi c quản lý, thử nghiệm và chia sẻ thiết kế Và nó cũng cho phép dùng... nghệ CMOS cho các nút mạng lập trình, cho phép mảng lập trình có thể xóa và lập trình lại được 1.4.3 Kiến trúc PLA PLA, vi t tắt của "Programmable Logic Array" trong tiếng Anh, là một thuật ngữ chỉ các vi mạch lập trình dùng mảng logic dùng trong điện tử học 23 PLA ra đời năm 1975 và là chíp lập trình thứ hai sau PROM PLA kế thừa cấu trúc của PROM, nhưng có khả năng lập trình linh động hơn, bù lại... cao nên được gọi là cổng chuẩn ASIC based on Programmable Device: Thiết kế ASIC trên cơ sở IC khả trình Chíp khả trình (Programmable device) được hiểu là IC chứa những phần tử logic có thể được lập trình can thiệp để tái cấu trúc nhằm thực hiện một chức năng nào đó Quá trình tái cấu trúc thực hiện thông qua ngôn ngữ mô tả phần 19 cứng nên thường được gọi ngắn gọn là lập trình IC khả trình được chia... năng thiết kế Verilog - Một module Verilog có thể thể hiện được cả giao diện và thân chương trình của một hệ thống 1.2.1.2 VHDL VHDL vi t tắt của Very-high-speed intergrated circuits Hardware Description Language, hay ngôn ngữ mô tả cho các mạch tích hợp tốc độ cao VHDL lần đầu tiên được phát triển bởi Bộ Quốc Phòng Mỹ nhằm hỗ trợ cho vi c thiết kế những vi mạch tích hợp chuyên dụng (ASICs) VHDL cũng được. .. học logic - Hệ thống mạch liên kết Hình 1.24 Khối chuyển mạch của FPGA Mạng liên kết trong FPGA được cấu thành từ các đường kết nối theo hai phương ngang và đứng, tùy theo từng loại FPGA mà các đường kết nối được chia thành các nhóm khác nhau, ví dụ trong XC4000 của Xilinx có 3 loại kết nối: ngắn, dài và rất dài Các đường kết nối được nối với nhau thông qua các khối chuyển mạch lập trình được (programmable . nghệ thiết kế mạch logic số Vi mạch số đơn giản có thể được thiết kế thủ công (Manual IC design), nhưng với các vi mạch số cỡ lớn thì quá trình thiết kế buộc phải sử dụng các chương trình. KHOA CÔNG NGHỆ ĐIỆN TỬ VÀ TRUYỀN THÔNG BÀI GIẢNG MÔN THIẾT KẾ VI MẠCH LẬP TRÌNH ĐƯỢC BIÊN SOẠN : NGUYỄN THẾ DŨNG Thái Nguyên, tháng 8 năm. - Vi mạch cỡ nhỏ SSI (Small scale integration), có hàng chục transistor trong một vi mạch. - Vi mạch cỡ vừa MSI (Medium scale integration), có hàng trăm transistor trong một vi mạch. - Vi

Ngày đăng: 12/11/2014, 11:45

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan