Thiết kế mạch bằng máy tính part 2 doc

30 387 0
Thiết kế mạch bằng máy tính part 2 doc

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Vi du: h~11ll ]();;ie dU'(~e hieu dlcn qua 1(lp herp cae d/IlIr-J j = V J ~. 4. 5. 7.9. I I. 12. 11 ) = V xIX,X,X , V x,x,x,x-l v.\',x-,x,x. 1 Dc llil IIHCll hoa de hilm l"i dang cllU;in I{IC luycn la dLmg dIe ,1111"-/ '"it dillh-d. V6"i de ham ehu,-in I,k IH)j la dung cae dlll"-{) "il d/Ilft-d. J. Phuong phap h.lO h;ill~ theo d(' Im6c h~p. PIllJ'on~ pha!> Quine - .\IcCluskey Tim ki0'1ll cae IIell 16i gi,in Iheo h<illg Karnaugh lrl1 nC:n nYL pink tap \.1 mift linh Irl/e quail 1l0'u s6 ILfl/ng Gie bi0'll ch)e 1<:lp ella halIl1 h'lgle \UO"1 li;t:! n':im. U"U diem eLla plwo"ng ph<.ip b,ing Karnaugh 1:1 (\ ehb eho pllep ",\c dil1h Itll)t deh In.re quan linh lien k6 ella cae 6. M61 phuung phar kh:i qll<lll In.lllg lrong de h;u 10,111 ICii thicu hoa de hitu Ihue 16gie 1:1 phllo"l1g pha]1 Quine- MeClu:-,key. PhU"O'llg pltap Quine - \1eClu,-;key eho phep x,\c (1Inll ~l.r 11l:Jl kc ella de dinh hill1g e,ieh [(Ip b,lllg. 10 11:1111 [()gle ctuqe eho h6i 1{lp hl/p de kh6i cap 0, ell' kh()[ nilY cllnie ehia Ihco nhllln. S6 luung don \'j lrong de khCii li(:n kl: eliCllh !Cell nhau m(l\. Trong \xlng ella uie kh6i dip (), la s:'p \c"p de kh()i 11ll'1l ~6 iLJ'(mg don \'! "il nh6m de kh6i e6 dlllg ~6 lHong dll,[} \ I llH'lt c;:iclt t,iell hl¢t. V I du: cho h"lmI bicll diC:n bllllg 1(lp h(:rp de d/IIII- I \;1 Iflp hop de dllllt-d f(.\'J.X2.,\,.X-l)= VJ(O.2.7,R.9.1:l) ",,(f) = ( :l, 12, 10 ) Qua trlnh klcm Ira t[nll il~n kc ella e,ie dll1h duoe Illl.re lti¢1J \(\j !:II l',1 ciie [(~ hop de kh6i d6i \'ll"i hai nhtlll1 l:lll C.)II. l\cu hai kh()l kh<ie nhau [x')'i I viI 0 chi ('"i m(ll V! IrL \'! Irf cl6 dlfl.!C dell ,r \'il llh,'\ll dU(il' 1l1(11 khhi e6 kle I{m hon. Kh6i nilY ctu"t,)'e dua \';10 b;'l!lg m6i \';t danh dflu 'I" \'i\O \'1 tri ella e,le kh6i ban dilu duqc bao phl! h6i kh6i e6 c,Yp lCm hon. Sau khl IhtK hi¢n bUel'e n~IY J{)i \'fij If It dl de kh{)i la llh~\ll dllqe b,'mg m6i c6 m()1 nh6m II han so \'t'J'i IX'lng ban dfiu. Nc'u trong h,'lllg ]w'ji Il~Y c6 llai hOi.lc nlllcu hcm c[le klH'ii gi(;!lg nhau Ih'l chung ,\L' bJ loal ho. QUii 11'inh Irl'll \0 duoe I(lp 1'.li \'(j'i de t h6i cap I elto 16i khi ehling la nh{\ll dunc h,ing d6i \"6i cic kh(li e:t'p 2. Ti"::p Il.lc qu.i Ir!nll \'()'i eae S,i IL~;~!o-' ~- Kilol c:;I;-()1Ki011111~ dOll \'1 I ~~O . ' OOO(j' -1 , ._ _ ,- - _~*()(ll() [(lOU =_: I (:)~~)i-~' : 1100 _,_ .' (J II 1 \ II 0 I \ I Kilol L,LP I - (1-' ___ +-' _ ~~;~~ :' 1 ()Oc,,'" f j 100" -+- , :;:DIU _~_I."()O '_~,~ ,;-o.\ II 'r ;I\() I II(h () Kh(ii C(LI?2 .,,0,\0 , -~ kh(li dp 2, cAp :~, V.\" ehn I{ii klli ta kh6np. ebn nh{1Il du"c)c de Ixing ehll"a (hYu kl~llllh\ 'I". Trnng de hang nll,)ll dU(Je IL( phUlfng phap l:.lP lloi Irell. nhCl"ng klIcii kll61lg duc}c datIl! dau b(ii k~ hi~u '1"' I~I nhung Ifch tcii gi,in ella halll 16gJC hem d:iu. Trong vi cll.1 if hlBh b~n, nllll'ng tieh I(li !:P"111 IiI (OOIr). ((hi I). 1,'hO). (''')')' Kill Irong h:eu ellen hilll1 c6 nilling dlllli-d. 1.1't dlilillrng dlllh (t6 ,0 dU'9'C 'ill" dl.lllg nlllJ nhCl"llg d/nh-J \':1 I1lYu "all kIll l!t~rc hJcn cllll lal IlH)t kh6i ban plll'l l:il d c,ie dildl d till kh(ii d6 e6 Ihe IOdi bll. Plufo'ng phiip (Juinc- r kClu"kcy dlja Iren cae phcp 1~IJl do dl) eho phcp td ell the \:IY dlrng de chu"()'Jlg trlllh Il"en lll;iy linh tll~re hlen l(ii Ihil;lI hOil e,ie h:1Il1 16gie d6i \'6i nhL!"!lg h:\l11 d) ,,6 hie'n 16n, lIinil 2.7 I'IHf,illg pll~ip QlILIlc-lIlcClu,kcy. :\Jgoiu nllL"rng phWl"llg ph,lp IlCli Il"l'J1 eon llhL"fllg phuung ph:lP Ill! thicu hOii de biell tht're IllglC d~(a Iren Ifeh t6ng qual. phlflfng ph.lp t6i Ihll<u IlO,i Ih' ck 11,\111 j{"'Jgic, de phu(J'ng ph<.lp h~uristic. Cic phuo'ng phcip l1ilY c!to phcp gl.1111 Ihh'i gian l(ii thieu hmi e:le hilll1 logIC ph(rc \'.IP \'6i ,,~r 'rei git'l[J CLLI mii)' tinh. 4. PlllioUg phap fun cae tich tiii gian thong qua ticll kCt hqp Phuong phiip tn,re qUilll eung nhU' phuang ph,ip dLllIg [xing de tim de (ieh 31 t6i ~i'-ll1 yeu d.ll ph 'll bi~u. 'dien h;\lll i6gic ball duu kmg: nhang k1I6! Cifp O. Khi ,,6 bic'!l d('lc l~lp ell,\ h~l\n 16~ic \[l1lg 1cl1. sf) !Lrqng de khoi dip () ,,<: \,"lIlg theo 1)' I¢ h~\lll mo do do ct~ tim nhiIng Ifch 16i g[,ill Il1co cae kh6i co cYp Ill)' )', trong ky IhLl<~l Ihu(\ng <ip dung de phucl'IJg ph.:'tp chi so. Sau day (i1llng la nghicn Cllll phUCillg phar t{ji thi~ll ho'.1. elva tren linh \o,in de kh{ii. PIllI'Ong: phi.lp n~\y l~ll(}ng qu;i! hOii phuong ph,ip QuillL~-McCluskcy. Dinh nghia tiell ket IWp: Tfch kt\ ho'p c,' ella hai khoi (',. c~ l~\ kht:ii c6 C~\r cL!c dc.li thoa 111an: V(c, )cY(c, )uV(C~), V«(;)=t-V(c,). V(c, )oFV(C~). nJ co Iht nh(m dU'0C Ifeh kc't hqp la IhL!c hi¢n de phcp Ilnl1 thl'() hlnh 2.S d6i \'oi nhCfng hlll1g gi,i tri Clll1g len eLla cae kh6i. C'lC ],;,0'\ q:.:.'l sc dUde the hl¢n nhtr sau: T\6u trollg k(t qu,.! eua de thao t,ic d6 h.), lW:1l Y XUdl lHcn trunt! hal hang hm\c nhicu hcm thl khong ton \i 11 tfeh K0'\ ilnp. Trong tnrlmg lH)'p n~ly hai oinh kit k5' ll[(llll:'- (rllg ntlltl trong Y( C 1 ) V<.\ Y( l', ) kh6ng e6 chung canh \tung ,",Illll 1/ ehi611. lIinh 2.S Ciic phe]) lu~ill 11m lieh kd hop. !\Cll .\ kh{mg .'\U[il hlen dicu d() dl nghla 1;\ dl !I1()1 ,",1)(')1 ehe rltll ,",h6i kl<.\ d ,",hCm)! ph,11 1<.\ tieb t6i gi;"1l1. Trong truling hup .\ xll[i\ hiel\ tiling tal !11()! \'! Irl. neu lhay \' b(')"! .\ lel nil.\n du()"c llH)! kh6i lhO,'1 man C,IC LliclI ,",Iell clla dPlh nglll<l IIell ke'! hO'p. Do ,",h6i Ilh'~ll duoc chua.\" IlCll khl'li d{l tho,llllJn dicu ki¢n: V( l' 1 -I- V( c 1 l. Y(c; ) ;i:. V( C C ); llg()~\i ra do kc'\ qU<.'1 ella rll0r 10;ln gil-fa.\ \';\ I Clll1g nhu' giiJa.1 va 0 btl11g 1 d,O wong ung. kh(ii 1111;111 duU'c thu,; lll:J.n tllCll ki¢n V( C; ) c V( e] ) u V( c] ). Thea kc't qu;'\ clla de than \,IC, ta nh')ll dw.1C kh6i ell b(\c Cllc d;,\i. Vicc tim de Hch l(ii gi<.in tfong t(lj1 11(.1p b.\1 k~1 dc kh()i (' d) the dl~ll r.\ theo ,,0 (\{i SHU: 32 LO,.li bb kh6i (", ra kh6i trip hCJp C n6u V( c i ) c V( c, ). c],c 2 E C. • Tilll'c hi¢n 11m tich ket iWp doi v('i'i (/' C.' E C va. IH.ip \'~Hl (' trong lnrcmg h0'P cho phep. Thu tl,le n;IY dW1C tlu.re hi¢n cho den khi ta khfll1g the them vao t(l(l (' ceic kh6i moi. Do thu tl,le n:1Y hao ham dl tllll ll:lc hqp ccie kh6i hen kc dd dw.'e m6 tei a pheln tren, kc"t qUe! ta nl1<.~n dUal' de lfeh t6i gi;in. Tom lai troll~ chuonu haL ChUlln ta da nubien ellll Cl1 <.;0 16"ic dl: x,h' . ~ e '" e e. d\rng de m~leh s6. Co su OilY bao gam Ch.ll s6 chuyen nwch - de.li <.;6 BooL bi~u dien d.c hlll11 logic btll1g ccic (l<.\llg churln ttle va de phu'ang pheip t6i thieu hOil ccic bieu Illlk i6gie. Nhilng \'[tn di': nay ntlll1 lrong nen 1,lng co' him eua k5' lhu(\l thie"! k2' cae mach 16gic. CHUONG III. CO 56 CUA THIET KE LOGIC CIC mi.lch 1ich hop xlr 15' dc Ih6ng lin dUQ'c bieu dicn trong he nil! pilC!Il. Khi xfly dlJng 1Il'.Kh, de ph~p loan t!UJ'(l'ng: dW.le hieu diell qua de h:l1n logic, do do bieu dicn c;k hihn logic lrong cae In'.leh tlch hqp b,\ng nh(rng rhu'o'ng ti¢n d~IC thll iil. co' so cua thie! kc logic. Thong thuong. de h~l1n 16gic co the lhll'e hi~'ll duai dang «.\c 1l1' lch ttl [](/P, nhung lrung ph:in [(m d.c tlUo-ng hop de l1l~\ch c6 do tfeh h0P cao tlll.J'C hi~'l\ llhl'tng ch{l'c nang l~\p h.li theo thoi gian. De lUll IrQ" dc kel qw.'t trung gJan ell<\ uie phcp to[]n \<1 t[uyc hien qLtLi trinh lc}p thea thll'j gi<lll de chue n{lJlg 16 hqp ctUCiC th~rc hien tren llhCl'llg 111i lell thao tal' turin tLL Co 'i(j tllle! kc de n1<.\cl1 tic clong lur\ll IV elva 1:1 m6 hlnh cae l1!6mal huu hi,lll. Trong chucl'ng n:l)' chClng la <;\0; nghi('n CI'I'U van (16 tiliet ke de mHeh t6lH:p \'~I 1111et k6 d.e IlWeh lile ch)ng tufin IlC §3.1. D:;ic di~m cu tlU{l trinh thic't kc' l11<:ich may tinh Trong ehuCing nily ehClllg ta ngien eCru eac phuong phap Ihie! kc' de kh(ii chIle n,lng CUd de Ihiel bi tll1h to{tn. Cae thiet h.i Ifl1h IOilH 1l~ly thve hi('11 de vi thao 1{le d6i v(l'i eac tIn hl~U lac Qt)ng. Cae vi tlmo lac lu'ong Cl'ng \'fii Ihang 11'(11 11,1' Ih~Yp nhflt trong Ihang ph[m etlp dc phuong phap bi~u dicn C<1e th!c'\ bi 1 fllh IO{lI\. Ctlu true eua cae kh6i c!nk nftng phLre t' IP hon etlll IrtlC eLla de ph[lI1 IU higlc. Do do Yi¢c nghl ?n CUll ule hoc.11 d6ng ella ({tC kh6i do Ir2n cO' '-a:~ m,.tch di~n Ill' !le'li chung kh6ng th":" thy-e hien elw:c. Trang ky Ihu(lt thi,:'t kc'. ngl((\i ta nghicn eCru hOi.lt dt)ng eua c,ic khai ehue nang ll1t)t dch gfln dung 1Ili:1 kh{Jn~ dn thi6t phai tIm hicu cae so (16 nl<,lch di~n lrung IfUt'ing hQ"p kh6i 1;1 nhO'ng cflu true h)gic. Cae cflu truc logic nuy ciuQ"c xfly dung III nhCrng ph:in ILr It)gie I)' !U'lillg. 1. Danh gia tho'j gian tre trung nit' Ill',lch 16gil: Trong quit trlnh tilie't k6 C,-IC thiel hi tlnh Imlll. nh~1 thiC't k6 kh()ng dl! quwl_~~~n~g(h~hi0nck~p_l~~c~am~h~~n~ Ihiel litlll dc' c,llh6i gi.m Ire eua lill hi¢u khi eli Llua c.le phan ILl' [(lgie \'il c.lc lto,m mach. Thi\i gian Ire nay ,Inh !llfling l6'n den ho'.11 d6ng ella mach lrong tlwe Ie'. Do cit'! \'I¢C I)1l) 1,1 V~I XLr Iy' Gie gla Iq Ih('1i giatl tr~ trong c,le IlllC'1 hi I[nh \(Xlll d{IJlg val Ire) quail Ir9ng. Trong me) hlnh don gi,ln nh[11 \'a ph() bien nh,il ell<1 de phflll til' l()gie. mQI IhU(lC Linh cua Iho'j gian lruy~n lin hi¢u qua 111' leh [I Ih6i gl,m Ire Ihu[ln Ill~' '" . Twng tru"(~mg h~p Ilily. Ih(1i giom Ire eua mach gum de phfin It! ehCre n~ing rn~ie nl'li liC:'p sc htlUg Iring de Ih('1i gian Ire eua C,IC ph[in Il'r chCrc n[mg V(I lhi)'i gi<ln tre ella ule phrin tu' licn kel. Thong lhui:mg L!lb'! gian tr~ lrong dc ph[in IU' 1<1 nhung d<.11 lU9ng ngtw nhien. do cit'> \'iCcc tinll (i0'n C,IC gi,. Iri Ih(\i gwn Ire phc'u sit dl;lllg cae plllrO'llg phap thong k0. Thlllig thU'l11lg cae IIha >;,.In xllAt dlia ril gia [ri cLfc d'.Ii Clla tho-i gian Ire. D()l khi de cling dp (hiy du th6ng tin hall \'C Iho-i giall Ire, nglrh'i ta Cll the dlta ra giii Iq CLtc dai \'il nhiJng gia tri li2u hieu. M()l c,lch (by (ttl hcm la c6 Ih':: ulng C[lp gi,i Iq L"l rc dai \'il qre lieu cua lho-i gian Lre. Trong nhlIng tnl'(\ng IH.'p Ci11l d() chinh xile cao ngu'i\i til dn phili eung cilp nhiing (be linh Iheing \ l' ella Lhll'1 gl<lll Lre nhu k-5' \'(.mg Imin hqe E, plllf0ng >;ill 0' \':t 1116 la ~~l' phu IllLl(lC ella Ihl1i gian Ire \,~tO nllu'llg di~u ki~n ll1l)i Iru'lmg hen ngo;lI nhu nhlC! d(l, d(l [im. d{l drill di0n !\":;u ell! bict gl.lln ql'e di.1I ella Ihi\j glall tre. dlii \'()'j lIll)1 Ini.KI! Irll) en [Ill llieu h:11 1 :5'. la clll e6 the ~h"1I1g dPlh du't,l'e rl\J1g [In hlCU khi di qlla 1ll<.Ich s0 b! Ire khong h,'11 IHm Iring Ulc gJiI Iri qrc d'.li ella lhb'i gian Lre. Ttl d() llH)1 h{' qu,'t quan Lrong 11<IY ~inh Iii chung La kJlrlflg ('(I kil(1 filing VI .\'/11111 {II/if giull lUll 11'11.\"(;'/1 f/ll /II~"II (/lIiI ('(il d(llill 11111('/1 JJl!ic II/III/I. Trong mqi dOi.\n m'.Ich gi'l Iri thiji gian Ire e6 [he" nllt) lU~' ~'. N0\1 ehLlllg la hlCt gl:\ LI"! qrc d'.I1 va qre tleu clla Iho-i gian Ire. khi d<'l lrung llWi dO;.1ll m •. teh 1<1 c6 Ihe x;ie dinh gi;i Iq el.re (t.li vii qle Ihiu ella Ihb-i glall Ire. Trong tru'lmg IH)'P n:IY, ta c6 Ihe so sunh dU\ie cae thl)'i gian tre nllll'lIg Kc't LIU,"t e6 the x;ie djnh hO(IC f hOng .: ac dillh. Dicu d6 ph~1 [hU(IC VUlI c.ie Iham so Ihb-i glall Ire eua de ph:in ILr vi:t s61lfqng de phtln ILr trong do'.1Il Ill.teh. Trollg nhCrng tnr('-mg hup gi(1i hi.tIl cia hie'!. thl1i gian Ire Ihli0ng dU\K xae lIlllh bill1g e,iell linh den nhCrng f h;'l n[lI1g x{iu nh:il lrong qua Irinh IrUYCIl Ifn !lIcit. each linh \lay dl.J'a lrcll gjii thlct nhu sau: thl1j giiln tre ella lin lll~u klli di qua de ph{in ILr co Ihe dWK xac dinh th6ng qua nhCrng tn)' ng'.ll kill dam bao nhO'ng ehC' dQ 1(1111 vi~e xiic dinh eua philn lir. V6'i ehe' dc) Eun \'i~e [Y' 36 tw'mg. Ih()'j f!IClI1 Ire c6 the COl nlm g{ll1 bimg kh6ng. Khl kh{mg th':; (bm han dU<.K che' dCl \i\111 VI¢C l~' tw'mg do :l!lh hu'()-ng lit bell ngo;u. th(ii ~lall lrl; eua tin hlCll sf t,"mg kn. Tronl.! Iruo-ng hrjp dlj"J1 gian nhlll hili tin hicu hll\\ll~ b\ ph,in ILl' lam meo. thi)'] gian lr~ Ian IrLlyen c6 the dunc coi El elf) \¢ch pha ella till hieu ra tunng ling \'(1'i tin hi2u V;10. Noi chung plllfO'n,g phap ]lil) dli tinh den nhilng trLfll'Ilg I1l,)"p co kh,i n~lng Xll)' ra nho nh,"lI lrollg m'.lch l.\ic lhcll ki¢n r~mg hU(K c{) the dlfCiC X:lC d!nh nhu' sau. Ta .\a hal throng truyen tin hl¢U. mClt dU'lmg chLra: J 1 ph"lll IlC du(mg lh(r- hal chua N\ ph:in ILL Cii,'] thie, rtll1g Ni > 1\,. +)(:;i \'6i nhCrllg m'.lch 16c d() cao. la din ph<ii lfnh Je'n Ih6"i gWtl Ire eLla d nhi:i'ng m,.tch li~1l 1 0'\. T{;lIg lh(\i ~iall tre Irong !lhO'llg nwch hen k61 dUQ'e k)' hi2u 1;1 I" v~\ sc duqc I [nh g(ljl \'l)'j Ihi)'] gian Ire ella ph'ln ILL Thb'i gial\ tre lui Ihi~L! Iren dui:mg tin hi¢u eh(ra l':J. nh6111 phrln tIl' ~c bang II I11LI1= N I., Idlllill. Thoi gian Ire 16i dOl tr~n dU'ong tin hi~u chua N\ nhom phfin Ill' ,,12 hang: t.'iLll;h"" N". tUlll<L.\ Trang qua Irinh Ihie't ke la cfin Ihm't m~ln dicu ki~n 11 't: , hay !it: NJ / N: , "> tJm,)\ /1,1111111' t\lllr \'(Iy, nC"ll h¢ thu'e lrclI tho.'t man Ihl IronS Inri\ng hl.~P X[IU nhSI lin hi¢u Iruycn Iheo ducmg co it ph::in ILl' se nhanh hcm then dui:lng cli(l'a nhicll ph.ln Ill'. t\'6u linh d'::"ll nhung dale tinh Ihting kc eua thai gian trG chLing La e6 the' ea nhling danh gia ehfnh x,ie hO'n vc thai gian trc ella lin hi¢u khi di qua de phfin Ill': 'O \'(1i phuong phClp ctlnh gi.i Iheo kh,-! n[\I1g x,Yu nh:I'!. eii;.! Ihiet ril11g. eac gILl. In Ihl1i gian ln~ Iii cae d'.li IU\l11g ng[IU nillen L10e -h~p va e6 ph[111 b6 xac su:it gfin voi d'.l!lg ph,h) b6 Gall"s. CiI:1 Ihi'::"l n(IY dUl)'C olJa tren co Sli cae nghien eu'll d~c tinh ella cae pho:in ILr !6gie. Y 6i nhung clieu ki¢n do, thai gian Ire lrung m()1 do' 1Il 11Weh gC)1TI de rhein ILr mite noi ti6p se duqc eoi 1a d'.li lu,<!ng ngau nhien c() ph<.ln b6 xae sufll dano Gau: ,s vo-i ky' von(~ to,in hoe E va phuon o sai 0'2 IiI 1011(~ eua de: k\' VOII\!. . /;' . /;', /;' /;' -' ~. loan hqc E, va long ella Ule: phuCing sai 0"/ ella piJClll h6 x,ic slI(i( ellLt de ph,'in tu' Ihanh ph[in. Khi do hi~u .1 eLla thb'i gi;lll Ire Irell dO;I') ll1'. ,eo nhi6u phjn tll' ,,6i Ihi'ii ~ian 1re Ircil c1OL,lIl nwch ng;'lu nhien co ph,In bu X;1e: ~ufll d,.mg Ci" E(,\) 1-_((,)- 'I', 11 ph:in 1<1' hCitll dll.s la d'.li lu,mg , \,()'i ky \,(Ong 10;\n hoc' E htUl)C,' 37 trong d6 E( \[, )V;I E( 1:, ) III k)' vqng. to,l\l hqc ella thbi giun tre tren dm,lIl mach I, vii S: va phvl1tlg sai DC\) ~ D(t,) ~ Illt,) !mlll; til) J)(!~) V~I D(I.J Iii plllfn'ng sai ella thl)'i gi,m In': Ir2n c\0, lt11l1, \Ch L \';1 S. D~ lrallh xung d()1 thl tin hi~~u Ir211 du'cing e6 nhicu phan IV phi.'ti d~ll ch,-Jm hon t[n hi2u trcn dufmg c6 il ph{in Ill' han 1l1(1t kl\(xl.ng thai gian Ian hu'n ho'.le I1flll£. f r , X<ic su<Yt de diCll ki~ll ni:ty h! ph,i \'0 e6 the (hJ(l'C xac d!nh nhu ~au: ta xi'll' lImh d'-,Ii Im.mg: E(,0.)-1'1 n ' 0-("') Irong dr), crl,',) =, Il("') x,\C ~u:\l dicll ki¢n tnlllh '\ung dOl b! phii yfj Iii Xite ,~ll[\1 eua Iru'G'ng iWp dai Ilrnng ( L\ - 1:\ ) I¢ch khai E(.3.) 11101 dm,lll lXll1g 1/ do l¢ch quy chu,1n. V{ij gi<.1 In 1/ > 3, xLie sU<.YI nay du\:iC Ifnh giin dung Ihea e6ng link: Khi ",ic 1tinh gi'-l Irj Ihhi gian Ir~, ta Gin ph:ii l[lIh dc"n ;inll hl(1))lg eua nhl~1 d(), ella 1;li, ". 10n lio,-,II d(lllg cLla ph:1l1 ILL Cie tillh to,-in lIeLi Ircll lrong HUang hO[l thtJ'i gian Ire Iii (h,li luqng ngtlLl nl1H:-n dU\lC sv dl.lIlg cho Inri)"ng hQ'p Il1<,lch duqc x[IY dl,mg til' nhu'l1g ph[in ILr n~Ilg bi¢1. Khi 1ll:'ICh dll'qe 1~,IO )\1()1 c,leh d6ng nhrll Ircn m()1 tinh Ihe, Sl! 1,'111 1l1'.111 IlJ'O"ng d6i e':I<,1 Ihbi gian Ire gi,-\m di do Slr tlfung quail giCra c,-ie ph'lll tLI' mach, Ih(ii giall Ire lril' nen g<.lll nhv U'll d~nh. 2. eie m~ch U; hqp va cae m,~ch tmill, tl! Sv phfln ehia eSc mach s{) th:l1lh c,Ie m'.lch to hqp \';1 eac m'.leil tu[in III XlI,-Yt ph,-t! tv e[le dicmkh{lc bi¢! cei b;m giiJu ute d~lc linh eua chung. Cic bien dilu ra ct'Ja cae 111i.lch t6 h9'P chi phl;! tilll()C v;tO eSc tac d6ng \,ZIO m;.lch lai Ihai ditm hi¢n !ai. eic m~lCh tu[in tLr tinl! toan cae gia tf! ra d\-J'a \,:10 cae gi,i Ir.i chlU vao khong chi tai thO'I diem hi¢n lai m:l clm phl;! Ihuoe Ci\ \';10 nhCmg Ir' l11g Ih.ii Clla mach tlnh ILr l!lfji di~m dang xct Irl") \'C tnr6c. C.ic tr<.ll1g: thaI clIa 1l1<.lch 3X lu~in Ill' chroe ltru Ifll" \'(\0 Cal' ph[in III nhl'i lrung lh~'tIlh ph,ill elLI :1',_, Tr:lil,O!. Illal ella me.teh lai mIll thb'i dicm 1;\ h~l1n ~6 ell;! eiL' Irdng Ih,'11 l'lld 111.t,-,11 \'i] de gICi If! (till \'au tal cic Ihui ,ilem Iru'Uc c!(). :"JIll! \,~]'y mach IU,lll tLl' bl':'ll doi lll(li chulii de giii Ir! ella uie lin hil'u \'ill) th:inh ellUl'll c,ie gi,'t ll"! lll<l lin 111¢U ra. Cac I11<-,lCh ~llan Ilj dlJq"e e:lu 1,.\0 Ix\'i hai rhein: de h() ph,)n n\J,i' lk lUll trD' cac Irang thai eua 11Weh: \'~t mach 10 hor dung dc dlCll khlC:11 cell' plt'lll ILr nha viI hlnh thilnh de gi:i Ir! tin hi¢u ra. Trong ky Ihu:lt tinh loan. de m<'leh (() hqp l:t ceil' mach 1l1~l llO,i, ~1.l1 IlIa. b(l '-;0 '-;,Inh lin hi\'u. htl c(mg. Cae 111<.1eh tuSIl I~r IiI Ciie tngn. c,lc Ill.ICll nl1(\. thanl! ghl. b(l dC:ll1. Ci.c phuong ph,ip t<:-;ng hC,lP \':1 [1h;'1Il lich c,il' 1I1.ICII til hqp clel'n gian hOIl so \"ai mach Il!<l:n tl.J. Trang qu,i tr1nh IhiC:'1 kc. Ule m'.leh so Ihu'(lIlg dunc bicu dicn h;-Il1,~, nlll':u plwo'ng ph,lp. \'i d~l nhtr b~lllg e(le lX'HIg, 111a Inll1- di) thl h:IC haJl~' elL' (l\()]Jl.II lvL.leh te) l19'P \'LlCh il il()' Till illeli 1'a ~ Tll~' 111ll(lC \';\0 Uch Illlf(~lL \':\ d(l plll're 1,,1[1 ella lllilCh m~\ l'lll~l\lt', 1.1 Ina ch(.l1l plllrll'llt-' plJ,'ijl tl1ich lhip. \'1(lt cach Icing qu:'il. ca 1l1<'lcll i(i hnr 1,ln Illi leh tll;ill Il! c6 Ih(' dl1'(.1'_' l'l1ell (llC:ll h.l1l~ (,IC (J!(lillal. C;\"" lltlll1lclt C(~) till' dll(,"( blell dicn hallg sO" d(i kl'l h( JP giCi'a mach nh6' V~I mach h-i h(l"p. 1\1;.leh nh6 dung ue luu IrD' Ir',lIlg thai ebn mach tci hop dl!!lg ue tillh Ciic tf'.lllg I1lnh 3.2 So cui ()](llllal hitu dl!~l1 111<1cl1 (0 llil'p_ th,li mO'i y~t de lin hi¢u ra mll'i d~ra \'ito lin hi~'ll (till \':lO, de tri.lIlg thai eel. Vi til,!, llH)\ I1li.leh II) hup b,l\ k~i e6 the du\x hicu dicil btlIlg Im}l lll(lInal ell h,li tr,.mg th<-ii 'I' vii '0': tIn hicu d:iu ra (hrCiC x(tC dinh Ihell In.lIlg Ih<-ii eua l'llomal: hilln chu)'c'n trang th,i! \;Hl tn.ll1g Ih[li 'I' ehinh l~t l1il111 logie hicLl dicll eht.'"rL' n;-Ing ITI'-,Ieh: tu tr~lIIg Ih<-ii '1' h¢ Ih6ng ehuycll \"(~ tr'.lllg Ih,il '0' bhng tIn hi~u xiic dPlh bhng ham (t;\o CLld hhm clll1'c nang. 39 §J.2. Cae phan hi logic co' ban Trong qUii trlnh thiet k6 cae m'.leh tfch hqp co m0t s6 phall tli ]{)gie co b<.ln dlll:e Slr d~mg ph6 bien. Vi~c thl!e hi~n cac phfin tll" l6gic n~ly plW thw)c ,,~\O c6ng ngh¢ S,111 XUftt linh ki¢n di¢n tLl" nhu' c6ng ngh¢ transistor CMOS. c(lIlg ngh¢ transistor truong:. TrL. TILS v.v. Cic phfin IU logic C0 bitn g6111 phfill tll AND. OR. NOT. XOR. NOR. NAND. ngoai ra trong nhi~u tnl?mg h(.J"p phAn tlr dong ngat cung duqc coi hI phan tv co ban. Trcn hlnh 3.1 dU'a I"a ky' hieu cae phAn tlr C{j b,'lll \'6i hai dfiL! \'tto. : & \' ~z=xffi\' ~ _:~. c8 z ,\ OI",J -' -[> ' c '- IIlnh .'.3 Cit phJn Itr 16g1C CO' h,in. x. y: de d[lulin lJieu \'ilO, z: chill tin hieu fa, (i: dlrCrllg: lin hicu dlClI klll':n. s S~: c,ic lin hieu. Tren quan didm ve kh'l nang x<1y dl!ng de h~lIn logic b,ll kyo l11()t sO' phfil1 tll" co 1);'\11 hqp thanh h¢ day duo Dieu do co nghlil J~l \'o-i dc h~l.In co' b,lll tham ~B )' Or 7=XorV = lIillh 3 1 XiJy dlrng pldn tIl OR him!, cae ph[in tlr NOT \':1 AND. gia \'~lO h~ c!:ly duo ta co the x<1y dl,t"ng 1TI9i hum I()gic. Ta co h¢ de ph:iJ1 tlr AND. OR. NOT (,.to thanh m¢t h¢ day du VI ta co the \ft)-! dl.i'ng 1110i 11;\ln 40 [...]... dfr Ii~u, trong ky tilU~ll thuang dung hai phU(111g philp xfty dL!l1g thanh ghi nhu sau PIII({!I1g r/u./p 1: ss xI , 2 (p( x, , Thanh ~ ~ gill dClllg ," ~ CK '2 Ilinh -' .22 So ,b cSu Ink Ih,lI1h gIll drill)!_ Trong m~.\Ch dau vao \';\ mi,\Ch rhein h6i ta dung cae bl) kh6a SW (hlnh 3 .23 ) Cae kllo,i nilY duve di6u khien sao rho chung [ulm 0 hai Ir,.mg lh.ii IlgLrqc nhau Dc c1'.Ii c1U\1C dieu do cae tin... Ph,\n tu' XOR Ihvc hi~n phep tOllll IO~li Irll' logic Phi.ln Ill' illlY dung rieng hi¢1 kh6ng the! t~\O thanh h~ thiy au clla cae phep IOlln 16gic Trang ml)C 2. 2 ella chuong 2 chung ta eta Ihtly Ill{)t ham logic c6 the duqc 1,.\0 IhaJlh Itl cae 42 phcp toan AND va XOR Nhu v~y ht; eac phcp tOil.n AND v):t XOR t~tO thanh h¢ day du cua cae phep to{m Ph[in tLr NOT e6 the duqe t,.\O thanh til' ph~in ttl'... tht hi¢l1 de c1[iu vao Thong thucmg tmng thiet k6 hai throng ta co /I dtiu vao d~lIlh cho r, va /I (tiu eho x, Con trong lhie:l ke 111()1 dLfl'rng ta chi co n dLfong d[f X" I 1-+-+-1 -+-+ -2 + +-3 -tl Ul x1 x2 x 2 xn ¢, xn li~u vao eho cae gi . au clla cae phep IOlln 16gic. Trang ml)C 2. 2 ella chuong 2 chung ta eta Ihtly Ill{)t ham logic c6 the duqc 1,. IhaJlh Itl cae 42 phcp toan AND va XOR. Nhu v~y ht; eac phcp. gi,m In': Ir2n c, lt11l1, Ch L ';1 S. D~ lrallh xung d()1 thl tin hi~~u Ir211 du'cing e6 nhicu phan IV phi.'ti d~ll ch,-Jm hon t[n hi2u trcn dufmg c6. 1(lp h(:rp de d/IIII- I ;1 Iflp hop de dllllt-d f(.'J.X2.,,.X-l)= VJ(O .2. 7,R.9.1:l) ",,(f) = ( :l, 12, 10 ) Qua trlnh klcm Ira t[nll il~n kc ella e,ie dll1h duoe

Ngày đăng: 27/07/2014, 15:20

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan