Xây dựng chương trình điều khiển thiết bị trên nền hệ thống nhúng fpga

63 5 0
Xây dựng chương trình điều khiển thiết bị trên nền hệ thống nhúng fpga

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

V Õ H TRƯỜNG ĐẠI HỌC DUY TÂN Ữ KHOA ĐIỆN – ĐIỆN TỬ U A N * X Â Y D Ự VÕ HỮU AN N G C H XÂY DỰNG CHƯƠNG TRÌNH ĐIỀU KHIỂN Ư THIẾT BỊ TRÊN NỀN HỆ THỐNG NHÚNG FPGA Ơ N G T RÌ N ĐỒ ÁN KỸ SƯ HỆ THỐNG NHÚNG H ĐI Ề U K HI Ể N Đà Nẵng, 2023 T HI TRƯỜNG ĐẠI HỌC DUY TÂN KHOA ĐIỆN – ĐIỆN TỬ ĐỒ ÁN TỐT NGHIỆP XÂY DỰNG CHƯƠNG TRÌNH ĐIỀU KHIỂN THIẾT BỊ TRÊN NỀN HỆ THỐNG NHÚNG FPGA CHUYÊN NGÀNH: HỆ THỐNG NHÚNG GVHD : NGUYỄN THỊ BÍCH HẠNH SVTH : VÕ HỮU AN LỚP : K25 – EHT MSSV : 25211610637 Đà Nẵng, 2023 i NHẬN XÉT CỦA GIẢNG VIÊN HƯỚNG DẪN Đà Nẵng, ngày… tháng… năm 2023 Giảng viên hướng đẫn ThS Nguyễn Thị Bích Hạnh ii LỜI CAM ĐOAN Kính gửi: Hội đồng bảo vệ đồ án tốt nghiệp khoa Điện - Điện tử, trường Đại Học Duy Tân Họ và tên sinh viên: Võ Hữu An Lớp: K25- EHT Sinh viên khoa Điện - Điện tử, trường Đại học Duy Tân Tôi xin cam đoan đây là công trình nghiên cứu của riêng tôi dưới sự hướng dẫn trực tiếp của cô Bích Hạnh Các số liệu, kết quả nêu trong đồ án là trung thực và chưa từng được ai công bố trong bất kỳ công trình nào khác 2022 Đà Nẵng, ngày… tháng… năm Người chịu trách nhiệm Võ Hữu An iii LỜI CẢM ƠN Trong thời gian làm đồ án tốt nghiệp em đã nhận được nhiều sự giúp đỡ, đóng góp ý kiến và chỉ bảo nhiệt tình của thầy cô và bạn bè Đặc biệt em xin gửi lời cảm ơn chân thành đến Cô Nguyễn Thị Bích Hạnh, người đã tận tình hướng dẫn, chỉ bảo em trong suốt quá trình làm đồ án Em cũng xin chân thành cảm ơn các thầy cô giáo trong trường Đại Học Duy Tân nói chung, các thầy cô trong khoa Điện – Điện Tử nói riêng đã giảng dạy, cung cấp kiến thức về các môn đại cương cũng như các môn chuyên ngành, giúp cho em có được cơ sở lý thuyết vững vàng và tạo điều kiện giúp đỡ em trong suốt quá trình học tập Em xin chân thành cảm ơn gia đình và bạn bè, đã luôn tạo điều kiện, quan tâm, giúp đỡ, động viên em trong suốt quá trình học tập và hoàn thành tốt đồ án tốt nghiệp Đề tài này tuy đã hoàn thành nhưng cũng không tránh được những thiếu sót, em rất mong nhận được sự góp ý kiến, chỉ dẫn của quý thầy cô và các bạn Sinh viên thực hiện Võ Hữu An iv MỤC LỤC LỜI CAM ĐOAN -ii LỜI CẢM ƠN iii MỤC LỤC -iv DANH MỤC HÌNH ẢNH vi DANH MỤC CÁC BẢNG VÀ CÔNG THỨC -viii DANH SÁCH CÁC KÍ HIỆU VÀ CHỮ VIẾT TẮT -ix LỜI MỞ ĐẦU -1 CHƯƠNG 1: TỔNG QUAN VỀ ĐỀ TÀI 2 1.1 Lý do chọn đề tài 2 1.2 Mục tiêu chọn đề tài - 2 1.3 Đối tượng và phạm vi nghiên cứu 2 1.3.1 Đối tượng - 2 1.3.2 Phạm vi nghiên cứu 2 1.4 Phương pháp tiếp cận -3 CHƯƠNG 2: CƠ SỞ LÝ THUYẾT 4 2.1 Tổng quan về FPGA - 4 2.1.1 Giới thiệu về phần cứng FPGA 4 2.1.2 Cấu trúc của FPGA 4 2.1.3 Quy trình thiết kế cho FPGA -6 2.2 KIT Altera DE2 7 2.3 Công cụ Qsys 8 2.3.1 Tổng quan về công cụ Qsys -8 2.3.2 Xây dựng hệ thống SoPC bằng Qsys trên Quartus II 9 2.4 Công cụ Nios II Eclipse -12 2.5 Avalon Bus 14 2.5.1 Avalon Bus Module 16 2.5.2 Các thiết bị ngoại vi Avalon 17 2.6 Các linh kiện được sử dụng 18 v 2.6.1 Động cơ bước KV4234-F2B009 18 2.6.2 Driver L298N - 21 CHƯƠNG 3: NỘI DUNG THỰC HIỆN -23 3.1 Sơ đồ tổng quát hệ thống 23 3.2 Chi tiết thiết kế hệ thống -24 3.2.1 Nios II Processor – Nios2_qsys_0 -24 3.2.2 JTAG Debug Module – jtag_uart_0 25 3.2.3 SRAM On Chip – onchip_memory2_0 -25 3.2.4 Thêm Interval Timer 26 3.2.5 Tạo các port I/O cho Nios II -26 3.2.6 LCD 16x2 27 3.2.7 ALTPLL - 28 3.2.8 Tạo thành phần IPCore PWM -29 3.2.9 Avalon Bus - 31 3.3 Thiết lập trạng thái máy cho motor -33 3.3.1 Lưu đồ thuật toán điều khiển motor -33 3.3.2 Máy trạng thái -34 3.4 Sơ đồ nguyên lý - 36 3.4.1 Kết nối chân tín hiệu điều khiển ngoại vi -36 3.4.2 Kết nối tín hiệu Switch và Led 37 CHƯƠNG 4: KẾT QUẢ, ĐÁNH GIÁ VÀ HƯỚNG PHÁT TRIỂN CỦA ĐỀ TÀI -38 4.1 Hệ thống đã thực hiện -38 4.1.1 Giới thiệu tổng quan về hệ thống -38 4.1.2 Các thành phần hệ thống nhúng trên Nios II 39 4.1.3 Mô phỏng phần mềm -40 4.1.4 Đo đạc và kiểm tra kết quả -41 4.1.5 Điều khiển động cơ -43 4.2 Đánh giá hệ thống và kết quả -45 KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN ĐỀ TÀI -46 TÀI LIỆU THAM KHẢO -47 vi DANH MỤC HÌNH ẢNH CHƯƠNG Hình 2- 1: Kiến trúc tổng thể của FPGA 5 Hình 2- 2: Khối Logic FPGA 5 Hình 2- 3: Quy trình thiết kế CAD thông dụng .6 Hình 2- 4: Sơ đồ khối DE2-115 .8 Hình 2- 5: Mở phần mềm Qsys .9 Hình 2- 6: Thêm Nios II Processor 10 Hình 2- 7: Thêm JTAG UART 10 Hình 2- 8: Thêm System ID Peripheral 11 Hình 2- 9: Thêm on-chip memory 11 Hình 2- 10: Hệ thống SoPC tối thiểu .12 Hình 2- 11: Chọn không gian làm việc cho Nios II .12 Hình 2- 12: Tạo dự án mới với Nios II 13 Hình 2- 13: Trình soạn thảo 14 Hình 2- 14: Chạy chương trình Nios II Hardware 14 Hình 2- 15: Sơ đồ khối một Avalon bus module trong thiết kế .17 Hình 2- 16: Động cơ bước .18 Hình 2- 17: Điều khiển động cơ bước 19 Hình 2- 18: L298N Block Diagram .21 Hình 2- 19: Driver L298N .21 CHƯƠNG 3Y Hình 3- 1: Sơ đồ tổng quát hệ thống 23 Hình 3- 2: Chi tiết thiết kế .24 Hình 3- 3: Chọn phiên bản Nios II/e 24 Hình 3- 4: JTAG_UART .25 Hình 3- 5: On-chip memory 25 Hình 3- 6: Thêm Timer 26 Hình 3- 7: Thêm Port I/O cho Nios II 27 Hình 3- 8: Thêm LCD 16x2 27 Hình 3- 9: Thêm ALTPLL .28 Hình 3- 10: Cài thông số cho clk c0 28 Hình 3- 11: Cài thông số cho clk c1 29 Hình 3- 12: Giao diện Component Editor 29 vii Hình 3- 13: Add file verilog 30 Hình 3- 14: Cửa sổ Signal & Interfaces 30 Hình 3- 15: Thêm PWM 31 Hình 3- 16: Giao tiếp giữa cổng Slave và Avalon Bus Module trong quá trình đọc từ Slave 31 Hình 3- 17: Thời gian trong quá trình đọc dữ liệu từ cổng slave 32 Hình 3- 18: Các tín hiệu giao tiếp và thời gian trong khi ghi dữ liệu ra cổng slave 32 Hình 3- 19: Lưu đồ thuật toán điều khiển motor 33 Hình 3- 20: Sơ đồ biểu diễn trạng thái máy(state machine) 34 Hình 3- 21: Kết nối chân GPIO trên DE2 115 .36 CHƯƠNG Hình 4- 1: Tổng quan hệ thống điều khiển motor 38 Hình 4- 2: Sơ đồ kết nối các thành phần 39 Hình 4- 3: RTL viewer 40 Hình 4- 4: Mô phỏng hệ thống trên ModelSim 40 Hình 4- 5: Đo xung đầu ra chân GPIO_0 và GPIO_1 trên KIT .41 Hình 4- 6: Đo xung đầu ra chân GPIO_1 và GPIO_3 trên KIT .41 Hình 4- 7: Đo xung ra output1 và output2 của driver motor L298N 42 Hình 4- 8: Đo xung đầu ra output3 và output4 của driver motor L298N 42 Hình 4- 9: Kết nối và điều khiển trên KIT .43 Hình 4- 10: Chức năng của switch 43 Hình 4- 11: Giao diện Nios II Console khi chạy chương trình điều khiển .44 Hình 4- 12: Hệ thống khi hoạt động 44

Ngày đăng: 08/03/2024, 16:49

Tài liệu cùng người dùng

Tài liệu liên quan