Bài giảng Thiết kế logic số (VLSI design): Chương 4.3 - Trịnh Quang Kiên

22 5 0
Bài giảng Thiết kế logic số (VLSI design): Chương 4.3 - Trịnh Quang Kiên

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL 06/2010 Quy trình thiết kế FPGA ISE (Intergrated Software Enviroment) Quy trình thiết kế FPGA Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds… Specification (Lab Experiments) VHDL description (Your Source Files) Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end AES_core; Synthesis Functional simulation Post-synthesis simulation Quy trình thiết kế FPGA Implementation Timing simulation Configuration On chip testing VHDL and Schematic library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity compare_module is Port (value : in std_logic_vector (3 downto 0); res : out std_logic); end compare_module; architecture Behavioral of compare_module is signal std : std_logic_vector (4 downto 0); begin val

Ngày đăng: 07/09/2023, 01:50

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan