giới thiệu công cụ FPGA

32 310 0
giới thiệu công cụ FPGA

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Giới thiệu công cụ FPGA George Mason University Quá trình thiết kế (1) Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds… Diễn Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; Đặc tả (Lab Experiments) tả VHDL (các flie nguồn bạn) Mô chức entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end AES_core; Tổng hợp Mô sau tổng hợp Q trình thiết kế (2) Thực thi Mơ thời gian Định cấu hình Kiểm tra chip Điều khiển trình thiết kế Active-HDL Các công cụ mô Một vài công cụ khác… Các công cụ tổng hợp … công cụ khác Tổng hợp Logic Diễn tả VHDL Circuit netlist architecture MLU_DATAFLOW of MLU is signal A1:STD_LOGIC; signal B1:STD_LOGIC; signal Y1:STD_LOGIC; signal MUX_0, MUX_1, MUX_2, MUX_3: STD_LOGIC; begin A1

Ngày đăng: 16/06/2014, 14:04

Mục lục

  • Giới thiệu về các công cụ FPGA

  • Quá trình thiết kế (1)

  • Quá trình thiết kế (2)

  • Các công cụ mô phỏng

  • Các công cụ tổng hợp

  • Những đặc điểm của các công cụ tổng hợp

  • Bộ sửa đổi giàng buộc

  • Bộ phân tích thời gian tĩnh

  • Phân tích thời gian tĩnh

  • External Connections to XSA Board

  • Resources & Required Reading

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan