Giáo trình Kỹ thuật xung – số (Nghề: Kỹ thuật sửa chữa, lắp ráp máy tính - Cao đẳng): Phần 1 - Trường Cao đẳng Cơ điện Xây dựng Việt Xô

59 2 0
Giáo trình Kỹ thuật xung – số (Nghề: Kỹ thuật sửa chữa, lắp ráp máy tính - Cao đẳng): Phần 1 - Trường Cao đẳng Cơ điện Xây dựng Việt Xô

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Giáo trình Kỹ thuật xung – số (Nghề: Kỹ thuật sửa chữa, lắp ráp máy tính - Cao đẳng) được biên soạn nhằm đáp ứng nhu cầu tiếp cận kỹ thuật hiện đại và được biên soạn theo chương trình khung của Bộ lao động thương binh xã hội. Giáo trình kết cấu gồm 9 bài và chia thành 2 phần, phần 1 trình bày những nội dung về: mạch dao động tạo xung; cơ sở kỹ thuật số; mạch mã hóa, giải mã;... Mời các bạn cùng tham khảo!

1 TRƢỜNG CAO ĐẲNG CƠ ĐIỆN XÂY DỰNG VIỆT XÔ KHOA ĐIỆN – ĐIỆN TĐH GIÁO TRÌNH Tên mơ đun: Kỹ thuật xung – số NGHỀ: SỬA CHỮA, LẮP RÁP MÁY TÍNH TRÌNH ĐỘ CAO ĐẲNG TAM ĐIỆP năm 2018 LỜI GIỚI THIỆU Trong năm gần công nghệ vi điện tử phát triển mạnh mẽ Sự đời vi mạch cỡ lớn, cực lớn với giá thành giảm nhanh, khả lập trình ngày cao mang lại thay đổi sâu sắc ngành kỹ thuật điện tử Ngày nay, kỹ thuật kỹ thuật vơ tuyến điện có nhiều thiết bị công tác chế độ đặc biệt chế độ xung Các thiết bị xung ứng dụng rộng rãi nhiều lĩnh vực khoa học kỹ thuật đại như: thông tin, điều khiển, đa, vơ tuyến truyền hình, điện tử ứng dụng, điều khiển tự động hóa cơng nghiệp Ở mức độ khác chúng thâm nhập vào tất thiết bị điện tử thông dụng chuyên dụng Giáo trình Kỹ thuật xung - số biên soạn nhằm đáp ứng nhu cầu tiếp cận kỹ thuật đại biên soạn theo chương trình khung Bộ lao động thương binh xã hội Giáo trình làm tài liệu giảng dạy cho nghề Sửa chữa lắp ráp máy tính cấp trình độ cao đẳng nghề Giáo trình làm tài liệu tham khảo cho kỹ thuật viên, công nhân ngành công nghệ tin học Nhằm trang bị cho bạn đọc kiến thức tốt để tiếp cận nhanh chóng với thiết bị xung số, kinh nghiệm tác giả đúc kết từ thực tiễn máy công nghệ điều khiển số đại từ thực tế giảng dạy tham khảo số tài liệu đáng tin cậy nước tài liệu dự án, tác giả biên soạn giáo trình Q trình biên soạn giáo trình, khơng thể tránh khỏi thiếu sót Rất mong đóng góp độc giả gần xa Tam Điệp, ngày……tháng… năm 2018 Tham gia biên soạn Trần Thế cương Vũ Xuân Thủy Đào Quang Thắng MỤC LỤC 10 11 12 13 14 15 Lời giới thiệu Mục lục Bài1: Kỹ thuật xung Bài 2:Cơ sở Kỹ thuật số Bài 3: Mạch mã hóa, giải mã Bài 4: Mạch dồn kênh, phân kênh Bài 5: FLIP- FLOP Bài 6: Mạch đếm Bài 7: Mạch ghi Bài 8: Bộ nhớ Bài 9: Mạch biến đổi D/A, A/D 02 03 08 26 46 60 71 79 93 98 107 CHƢƠNG TRÌNH MƠ ĐUN Tên mơ đun: Kỹ thuật xung số Mã số mô đun: MĐ 19 Thời gian mô đun: 60h (Lý thuyết : 24giờ ; Thực hành, thí nghiệm, tập, thảo luận: 32giờ; Kiểm tra: giờ) I VỊ TRÍ, TÍNH CHẤT CỦA MƠ ĐUN : - Vị trí mơ đun: Mơ đun bố trí sau học sinh học xong mô đun Đo lường Điện, kỹ thuật điện tử - Tính chất mơ đun: Là mô đun sở chuyên môn nghề bắt buộc II MỤC TIÊU MƠ ĐUN : Học xong mơn học học viên có khả năng: - Trình bày sơ đồ mạch điện nguyên lý làm việc mạch mã hoá, giải mã, dồn kênh, phân kênh, mạch đếm, ghi dịch, mạch chuyển đổi AD/DA, DA/AD nhớ ROM RAM cách nhanh chóng xác; - Lắp ráp, kiểm tra sửa chữa mạch đảm bảo tiêu: an toàn, hoạt động ổn định, thời gian quy định III NỘI DUNG MÔ ĐUN : Nội dung tổng quát phân phối thời gian: Số TT Tên mô đun Bài : mạch dao động tạo xung Khái quát chung 1.1 Khái niệm 1.2 Các thông số 1.3 Phân loại Mạch tạo xung dùng BJT 2.1 Sơ đồ 2.2 Nguyên lý làm việc 2.3 Sơ đồ có điều chỉnh tần số 2.4 Thực hành lắp ráp Thời gian Thực hành Thí Tổng Lý nghiệm số thuyết tập Thảo luận 1 Kiểm tra* Mạch tạo sung dùng IC555 2.1 Sơ đồ 2.2 Nguyên lý làm việc 2.3 Sơ đồ có điều chỉnh tần số 2.4 Thực hành lắp ráp Mạch tạo xung dùng OA 2.1 Sơ đồ 2.2 Nguyên lý làm việc 2.3 Sơ đồ có điều chỉnh tần số 2.4 Thực hành lắp ráp Bài : Cơ sở kỹ thuật số Hệ đếm 1.1 Các hệ thống số đếm 1.2 Chuyển đổi hệ thống số đếm 1.3 Các phép tính hệ nhị phân Bộ mã hóa 2.1 Mã BCD 2.2 Mã Gray 2.3 Mã thừa 2.4 Mã Jonhson Các phép toán logic 3.1 Phép phủ định 3.2 Phép tuyển 3.3 Phép hội Các cổng logic thông dụng 4.1 Các cổng logic thông dụng 4.2 Các vi mạch cổng Hàm lô gic 4.1 Khái niệm 4.2.Các phương pháp biểu diễn hàm logic 4.3 Tối giản hóa hàm logic 4.4 Thiết kế mạch logic tổ hợp Bài : Mạch mã hóa, giải mã Mạch mã hóa 1.1 Khái niệm 1.2 Thiết kế mạch 1.3 Thực hành lắp ráp mạch 0.5 1.5 0.5 1.5 12 1 1 4 2 10 6 Mạch giải mã 2.1 Khái niệm 2.2 Thiết kế mạch 2.3 Lắp ráp mạch Kiểm tra định kỳ Bài : Mạch dồn kênh , phân kênh Mạch dồn kênh 1.1 Khái niệm 1.2 Thiết kế mạch 1.3 Thực hành lắp ráp mạch mạch phân kênh 2.1 Khái niệm 2.2 Thiết kế mạch 2.3 Lắp ráp mạch Bài : Flíp- Flop FF RS FFRST FF JK FF T Bài : Mạch đếm Khái quát chung 1.1 Khái niệm 1.2 Phân loại Mạch đếm nhị phân 2.1 Thiết kế mạch 2.2 Thực hành lắp ráp mạch Mạch đếm BCD 3.1 Thiết kế mạch 3.2 Thực hành lắp ráp mạch Bài : Mạch ghi Mạch ghi nối tiếp 1.1 Khái niệm 1.2 Cấu trúc mạch 1.3 Nguyên lý làm việc Mạch ghi song song 2.1 Khái niệm 6 2 3 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 3.5 0.5 0.5 0.5 0.5 0.5 1.5 0.5 10 2.2 Cấu trúc mạch 2.3 Nguyên lý làm việc 3.Mạch ghi vòng 3.1 Cấu trúc mạch 3.2 Thực hành lắp ráp Bài : Bộ nhớ ROM-RAM Bộ nhớ ROM 1.1 Khái niệm 1.2 Cấu trúc 1.3 Các loại ROM thường gặp Bộ nhớ RAM 2.1 Khái niệm 2.2 Cấu trúc 2.3 Các loại RAM thường gặp Bài 09: Mạch chuyển đổi A/D, D/A Mạch chuyển đổi A/D 1.1 Khái niệm chung 1.2 Sơ đồ nguyên lý 1.3 Nguyên lý làm việc 1.4 Thưck hành lắp ráp mạch Mạch chuyển đổi D/A 2.1 Khái niệm chung 2.2 Sơ đồ nguyên lý 2.3 Nguyên lý làm việc 2.4 Thực hành lắp ráp mạch Kiểm tra định kỳ Cộng 2 1 0.5 1.5 0.5 1.5 60 24 32 2 BÀI 1: Mạch dao động tạo xung Giới thiệu: Trong kỹ thuật xung điện đóng vai trị quan trọng, đơi ngun nhân hệ thống điều khiển điện tử-số không hoạt động lắp ráp hư hỏng thiết bị vận hành tải, áp mà xung điều khiển không đạt thông số kỹ thuật Bài giới thiệu khái niệm, đặc trưng, đại lượng, ảnh hưởng xung mạch điện tử-số Học viên cần hiểu rõ vận dụng kiến thức xung vào mạch điện tử -số công nghiệp điều khiển xung điện Mục tiêu: - Trình bày khái niệm xung điện, dãy xung - Vẽ phân tích sơ đồ mạch điện mạch dao động tạo xung - Lựa chọn, kiểm tra linh kiện lắp ráp mạch tạo xung hoạt động theo yêu cầu - Rèn luyện tác phong làm việc nghiêm túc tỉ mỉ, cẩn thận, xác Nội dung chính: Khái quát chung Mục tiêu: - Trình bày khái niệm tín hiệu, xung điện, dãy xung nêu tham số đặc trưng 1.1 Định nghĩa 1.1.1 Định nghĩa tín hiệu Tín hiệu biến đổi đại lượng điện (dòng điện hay điện áp) theo thời gian, chứa đựng thông tin Tín hiệu chia làm loại: tín hiệu liên tục (tín hiệu tuyến tính) tín hiệu gián đoạn (tín hiệu xung) Trong tín hiệu hình sin xem tín hiệu tiêu biểu cho loại tín hiệu liên tục ,có đường biểu diễn hình 1-1 Ngược lại tín hiệu hình vng xem tín hiệu tiêu biểu cho loại tín hiệu khơng liên tục hình 1-2 Hình 24-01-1: Tín hiệu hình sin Hình 24-01-2: Tín hiệu hình vng 1.1.2 Định nghĩa xung điện Xung điện tín hiệu điện có giá trị biến đổi gián đoạn khoảng thời gian ngắn so sánh với q trình q độ mạch điện Xung điện kỹ thuật chia làm loại: loại xung xuất ngẫu nhiên mạch điện, mong muốn, gọi xung nhiễu, xung nhiễu thường có hình dạng (Hình 24-01-3) (u,t ) (u,t ) (u,t ) t t t Hình 24-01-3: Các dạng xung nhiễu Các dạng xung tạo từ mạch điện thiết kế thường có số dạng bản: (u,t) (u,t) t (u,t ) (u,t) t t t Hình 24-01-4: Các dạng xung mạch điện thiết kế Dãy xung vng xuất hình máy sóng điều chỉnh tốc độ quét chậm., thấy có đường vạch ngang Khi điều chỉnh tốc độ qt nhanh, hình máy sóng xuất rõ đường vạch tạo nên hình dạng xung với đường dốc lên dốc xuống - Cạnh xuất trước xung gọi sườn trước xung - Cạnh nằm đỉnh có giá trị cực đại gọi đỉnh xung - Cạnh xuất sau xung để trở trạng thái ban đầu gọi sườn sau xung - Cạnh nối khỏang cách từ sườn trước sườn sau trục tọa độ xung gọi đáy xung 1.2 Các tham số xung điện dãy xung 1.2.1 Các tham số xung điện Dạng xung vng lý tưởng trình bày Hình 24-01-5 10 U, I off t on Hình 24-01-5: Các thơng số xung a Độ rộng xung thời gian xuất xung mạch điện, thời gian thường gọi thời gian mở ton Thời gian khơng có xuất xung gọi thời gian nghỉ t off b Chu kỳ xung khỏang thời gian lần xuất xung liên tiếp, tính theo cơng thức: T= t on + t off (1.1) Tần số xung tính theo cơng thức: f= T (1.2) c Độ rỗng hệ số đầy xung: - Độ rỗng xung tỷ số chu kỳ độ rộng xung, tính theo cơng thức: Q= T Ton (1.3) - Hệ số đầy xung nghịch đảo độ rỗng, tính theo cơng thức: n= Ton T (1.4) Trong thực tế, người ta quan tâm đến tham số này, người ta quan tâm thiết kế nguồn kiểu xung, để đảm bảo điện áp chiều tạo sau mạch chỉnh lưu, mạch lọc mạch điều chỉnh cho mạch điện cấp đủ dịng, đủ cơng suất, cung cấp cho tải d Độ rộng sườn trước, độ rộng sườn sau: Trong thực tế, xung vuông, xung chữ nhật khơng có cấu trúc cách lí tưởng Khi đại lượng điện tăng hay giảm để tạo xung, thường có thời gian tăng trưởng (thời gian độ)nhất mạch có tổng trở vào nhỏ có thành phần điện kháng nên sườn trước sau khơng thẳng đứng cách lí tưởng Do thời gian xung tính theo cơng thức: ton = tt + tđ + ts (1.5) Trong đó: ton: Độ rộng xung 10 45 Bước 5: Lựa chọn linh kiện: Việc lựa chọn vi mạch số thực cho mạch logic tổ hợp yêu cầu - Vi mạch cổng đảo - Vi mạch cổng AND vào - Vi mạch cổng OR vào Trường hợp sử dụng cồng vào cổng cịn lại ta nối GND 45 46 BÀI 3: MẠCH MÃ HÓA, GIẢI MÃ Mạch mã hóa mạch giải mã mạch logic tổ hợp đóng vai trị quan trọng thiết bị điện tử số Máy tính, điện thoại, thiết bị điều khiển số vvv Mã hóa giải mã hai q trình thuận nghịch Mạch mã hóa mạch đầu vào hệ thống sử lý tín hiệu số cịn mạch giải mã thường mạch đầu hệ thống Mục tiêu: - Trình bày khái niệm, vai trị ứng dụng mạch mã hóa, giải mã kỹ thuật điện tử, ngun tắc q trình mã hóa, giải mã; - Thiết kế mạch mã hóa, giải mã đơn giản - Lựa chọn, kiểm tra linh kiện lắp ráp mạch giải mã, mã hóa hoạt động theo yêu cầu; - Rèn luyện tác phong, ý thức làm việc nghiêm túc, chuyên cần khoa học, an toàn lao động Nội dung: Mạch mã hóa 1.1 Khái quát chung 1.1.1 Khái niệm: Mã hóa q trình dùng văn tự hay ký hiệu để biểu thị đối tượng(số thập phân, hệ thống chữ cái, ký tự, ký hiệu vvv) Nếu dùng mã nhị phân để biểu thị đối tượng (là tín hiệu) ta có q trình mã hố nhị phân Khi dùng số nhị phân để mã hóa đối tượng cần mã hóa chuyển đổi thành trạng thái logic chuỗi số nhị phân n bít Một ký tự nhị phân có giá trị (hai trạng thái) va tương ứng với việc biểu diễn tín hiệu, hay dùng chuỗi số nhị phân n bít ta biểu diễn 2n tín hiệu khác Điều kiện để mã hố N đối tượng là: n N 1.1.2.Sơ đồ khối tổng quát: x0 x1 Mã hóa xN-1 A0 Ai An-1 Trong đó: - X0 ÷ XN-1 N đối tượng cần mã hóa - A0 ÷ An-1 Là bít chuỗi số nhị phân n bít 1.2 Mạch mã hóa từ sang 46 47 Xét mạch mã hóa nhị phân từ sang (8 ngõ vào ngõ ra) Sơ đồ khối mạch cho Hình 24 -03-4 Sơ đồ khối mạch mã hóa sang Trong đó: - x , x , ., x ngõ vào tín hiệu ( đối tượng cần mã hóa) - A, B, C ngõ ( Các bít chuỗi số nhị phân bít) Mạch mã hóa nhị phân thực biến đổi tín hiệu ngõ vào thành từ mã nhị phân tương ứng ngõ ra, cụ thể sau: X0 → 000 , X3 → 011 , X6 → 100 X1 → 001 , X4 → 100 , X7 → 111 X2 → 010 , X5 → 101 Chọn mức tác động (tích cực) ngõ vào mức logic 1, ta có bảng trạng thái mô tả hoạt động mạch : Giải thích bảng trạng thái: Khi ngõ vào trạng thái tích cực (mức logic 1) ngõ vào cịn lại khơng tích cực (mức logic 0) ngõ xuất từ mã tương ứng Cụ thể là: ngõ vào x =1 ngõ vào cịn lại từ mã ngõ 000, ngõ vào x =1 ngõ vào cịn lại từ mã nhị phân ngõ 001, v v Phương trình logic tối giản: A = x + x + x + x , B = x + x + x + x , C= x + x + x + x Sơ đồ logic (Hình 24 -03-4): X0 X1 X2 X3 X4 X5 X6 X7 47 7 48 A B C Mạch mã hóa nhị phân từ sang Biểu diễn cổng logic dùng Diode (Hình 24 -03-5): Mạch mã hóa nhị phân từ sang sử dụng diode 1.3 Mạch mã hóa số thập phân theo mã BCD8421 Mã BCD 8421 mã hóa sử dụng phổ biến để thực mã hóa chữ số thập phân Khi sử dụng mã BCD8421 ta sử dụng chuỗi số nhị phân bít Như cấu trúc mạch mã hóa gồm 10 đầu vào tương ứng với 10 số số nhị phân, đầu tương ứng với bít số nhị phân sử dụng để mã hóa Các bít số nhị phân ký hiệu là: A,B,C,D A bít lớn D bít nhỏ bảng trạng thái thể quy luật mã hóa sau: 48 49 Số hệ 10 A B C D 0 0 0 0 0 1 0 1 1 1 0 0 Với bảng trạng thái ta có phương trình logic hàm đầu sau: D =1+3+5+7+9 C =2+3+6+7 B = 4+5+6+7 A =8+9 Từ phương trình hàm đầu ta có mạch logic tổ hợp sau: 49 ≥1 D ≥1 C ≥1 B ≥1 A 50 1.4 Thực hành lắp ráp, khảo sát mạch mã hóa số thập phân Khi thực hành lắp ráp, khảo sát mạch mã hóa số thập phân dùng mã BCD8421 ta tiến hành sau: Bước 1: Lựa chọn, kiểm tra linh kiện: Linh kiện thực hành ta dùng vi mạch cổng OR mã hiệu 74LS32, vi mạch cổng có cấu trúc gồm cổng OR cửa vào, ta tiến hành kiểm tra tình trạng hoạt động cổng, có cổng khơng hoạt động ta sử dụng cổng lại vi mạch Bước : Lắp ráp mạch điện theo sơ đồ mạch logic tổ hợp: - Trong sơ đồ mạch logic tổ hợp có sử dụng cổng OR có số đầu vào nhiều để sử dụng vi mạch cổng 7432 ta thực theo nguyên tắc cộng dần sau: ≥1 ≥1 ≥1 ≥1 - Các đầu vào ta kết nối với SW0 ÷ SW9 Khi mã hóa số SW tương ứng với số đưa lên mức SW cịn lại mức - Các đầu D,C,B,A ta kết nối với Led để hiển thị mức logic bít đầu ra, việc xắp xếp từ D ÷ A theo trình tự từ phải qua trái - Kết nối đường cấp nguồn 5V cho vi mạch cổng Bước 3: Kiểm tra tính đắng sơ đồ mạch kết nối, bật công tắc nguồn Dùng SW để nhập mã hóa số từ đến 9, quan sát mức logic đầu để rút kết luận Mạch giải mã 2.1 Khái niệm: Khi mã hóa đối tượng mã hóa chuyển đổi trạng thái logic chuỗi số nhị phân n bit dùng để mã hóa Giải mã q trình tìm đối tượng mã hóa từ trạng thái logic chuỗi số nhị phân n bít 50 51 dùng để mã hóa Mạch thực q trình giải mã gọi mạch giải mã Một mạch giải mã có cấu trúc sau: X0 X1 Xi Xn-1 MẠCH GIẢI MÃ F1 F2 Fi FN Trong : X0 ÷ Xn-1 Là biến đầu vào mạch giải mã, bít chuỗi số nhị phân dùng để mã hóa F1 ÷ FN Là đối tượng cần tìm mã hóa Khi ta chọn mức đầu tích cực ứng với trạng thái logic biến đầu vào sử dụng mã có đầu mạch giải mã có mức logic 1, đầu lại mức đối lập với mức tích cực Khi khơng dùng hết tổ hợp mã đầu vào, giải mã thiết kế loại bỏ tổ hợp để chúng không tác động tới đầu 2.2 Thiết kế mạch giải mã 2.2.1 Mạch giải mã sang Xét mạch giải mã nhị phân 2→4 (2 ngõ vào, ngõ ra) hình Hình 24 -03-8 Chọn mức tích cực ngõ mức logic a) Phương trình logic tối giản : b) Sơ đồ logic: 51 52 Biểu diễn cổng logic dùng Diode Sơ đồ cổng logic dùng diode Trường hợp chọn mức tích cực ngõ mức logic (mức logic thấp L): 52 53 a) b) Phương trình logic: Sơ đồ logic: Mạch giải mã từ 2→4 với ngõ mức tích cực thấp 2.2.2 Mạch giải mã led thanh: Mạch giải mã led mạch giải mã có chức năng: - Giải mã số thập phân theo mã BCD8421 - Hiển thị chữ số thập phân led a, Giới thiệu led 53 54 Led (led đoạn) gồm đèn led dạng bố trí xắp xếp sau: a f g b e d c Thứ tự đèn led đặt hình vẽ Các đèn led kết nối theo sơ đồ mạch Anot chung Catot chung: - Led Anot chung: 5V a b c d e g f - Led catot chung: a b c e d f g b, Thiết kế mạch giải mã led Anot chung: Khi tiến hành thiết kế mạch giải mã led Anot chung trình tựu tiến hành sau: Bước 1: Lập bảng trạng thái Đặt A,B,C,D bít đầu vào chuỗi số nhị phân bít sử dụng để mã hóa , Các đầu mạch giải mã đưa tới để điều khiển đèn led led a,b,c,d,e,f,g Với led Anot chung đầu chung cấp 54 55 nguồn 5V cố định để đèn sáng đầu vào đèn led phải có mức logic mức thấp (0V) Do chữ số thập phân mã hóa sử dụng mã BCD 8421 ta có bảng trạng thái giải mã led Anot chung sau: Số hệ 10 A B C D a b c d e f g 0 0 0 0 1 0 0 1 1 0 0 1 0 1 0 1 1 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 1 0 0 0 Bước : Tối giản hóa hàm đầu ra: Ở bước dựa vào bảng trạng thái ta tiến hành biểu diễn hàm đầu (a,b,c,d,e,f,g) dạng bảng Karnaugh sau tiến hành tối giản hàm đầu ra, cụ thể sau: CD 00 a AB 11 11 10 a = BCD + ABCD 00 01 01 x x 10 x x x x CD 00 b 01 11 10 00 b = BCD + BCD 01 AB 11 10 x x x x x x 55 56 CD 00 c 01 11 10 00 01 AB 11 x x 10 x x c = BCD x x CD 00 d 00 01 AB 11 01 11 10 1 x x 10 d = a + BCD x x x x CD e 00 01 11 x 1 x 1 x x 00 01 AB 11 10 10 e = D + BC x x CD 00 f 00 01 11 10 1 x x 01 AB 11 x x 10 f = CD + BC x x CD g 00 00 01 1 01 AB 11 10 x x 11 x x 10 g = ABC + BCD x x Bước : Vẽ mạch logic tổ hợp: 56 57 Từ biểu thức tối giản hàm đầu ta có mạch logic tổ hợp mạch giải mã led Anot chung sau: A B C D a b c d e f g Bước 5: Lựa chọn linh kiện Việc lựa chọn linh kiện vào cổng logic sử dụng mạch logic tổ hợp, tra cứu mã hiệu cổng logic có số đầu vào phù hợp tính tốn số lượng vi mạch cổng loại Bước 6: Thiết kế sơ đồ mạch điện: Sơ đồ mạch điện sơ đồ kết nối đầu vào, vi mạch cổng, nguồn cung cấp (5V) led Anot chung để thực mạch giải mã led Các đầu mạch logic tổ hợp kết nối với led phải qua điện trở 100Ω để hạn chế dịng điện đảm bảo an tồn cho đèn led Trường hợp dùng led Catot chung bảng trạng thái ta phải xác định mức logic đầu ngược lại so với sử dụng led Anot chung dùng mạch giải mã led Anot chung đầu trước kết nối với đầu vào led Catot chung phải qua cổng đảo 2.3 Thực hành lắp ráp mạch giải mã led dùng IC giải mã 74LS47 57 58 14 G 15 F U1 10 E C D 11 12 B 7447 A 13 IC 74LS47 Là Ic dùng để giải mã hiển thị chũ số thập phân led Sơ đồ chân IC 74LS47 Như sau: BI/RBO 1 LT RBI c) Hình 24-03-13: Các hình dáng IC 7447 Để led làm việc ta cần có điện trở hạn dịng Nhiệm vụ chân linh kiện : 1,2,4,8 ngõ vào số BCD, Các ngõ A,B,C,D,E,F,G ngõ nối nới Led đoạn LT : Lamp test RBI : xố số khơng vơ nghĩa ngõ vào RBO : xố số khơng vơ nghĩa ngõ Khi sư rdungj Các chân LT, RBI, RBO nối với nguồn 5V qua điện trở 1KΩ Trình tự tiến hành sau: Bước 1: Gắn IC 74LS47, Led Anot chung vào bo cắm đa Bước 2: Kiểm tra, xác định chân a,b,c,d,e,f,g led Khi kiểm tra ta nối chân chung led vào nguồn 5V qua điện trở 1KΩ sau cho GND tiếp xúc với chân đầu vào led, vào led sáng xác định xác chân đầu vào Đối với led thông dụng thứ tự chân đầu vào sau: g e e f d 5V c f d a c b 5V a b 58 59 Bước 3: Kết nối mạch điện theo sơ đồ sau +5 +5 +5 +5 +5 +5 +5 +5 '1' '0' '1' LS0 '0' '1' LS1 C '0' '1' LS2 D LT RBI '0' '1' LS7 RBO '0' LS8 '0' '1' 16 A B Vcc 13 A 1Y1 12 IC1 1Y2 11 74LS47 1Y3 10 B 1Y0 LS3 2Y0 LAMTEST 2Y1 D E 2Y3 LED B LED C LED D LED E 15 F 14 G LED G LED 2Y2 RBI RBO C LED A LED F GND Trong sơ đồ LS0 ÷ LS8 chuyển mạch SW dùng để thay đổi mức logic đầu vào Chân nguồn led nối với nguồn 5V qua điện trở 100Ω Bước 4: Kiểm tra tính đắn mạch điện kết nối, bật công tắc nguồn, LS7, LS8 chuyển lên mức 1.Dùng SW thay đổi mức logic đầu vào từ 0000 ÷ 1001 quan sát thị led trạng thái logic đầu vào để rút kết luận 59 ... 0 011 0 011 10 01, 0 011 010 0 010 0 010 0 10 10, 010 0 010 1 010 1 10 00, 010 1 10 11, 010 1 011 0 011 0 10 01, 011 0 11 00, 011 0 011 1 10 00, 011 1 10 10, 011 1 11 01, 011 1 10 00 10 01 1 011 11 10 10 01 1 010 11 00 11 11 Trong... Hệ 16 0 0 1 1 10 2 11 3 10 0 4 10 1 5 11 0 6 11 1 7 10 00 10 10 01 11 10 10 10 12 A 11 10 11 13 B 12 11 00 14 C 13 11 01 15 D 14 11 10 16 E 15 11 11 17 F 1. 2 Qui đổi hệ hệ 10 a Qui đổi từ hệ 10 sang hệ *... phép toán Logic: Mã Gray Mã Jonhson 0000 00 01 0 011 0 010 011 0 011 1 010 1 010 0 11 00 10 00 00000 000 01 00 011 0 011 1 011 11 111 11 111 10 11 100 11 000 10 000 3 .1 Phép phủ định: Phép phủ định phép toán logic

Ngày đăng: 27/07/2022, 11:37

Tài liệu cùng người dùng

Tài liệu liên quan