Bài thí nghiệm 2 Thiết kế hệ thống số chuyên dụng

12 84 2
Bài thí nghiệm 2 Thiết kế hệ thống số chuyên dụng

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

412. BÀI THÍ NGHIỆM SỐ 2: MÔ PHỎNG THANH GHI, BỘ ĐẾM2.1. Mục đích, yêu cầua) Mục đíchĐây là bài thực hành thiết kế mạch logic tuần tự, cụ thể sinh viên sẽsử dụng các cú pháp tuần tự của VHDL để mô tả các thanh ghi và bộ đếm,khối kiểm tra mạch dãy, phân tích cách thức hoạt động, giản đồ sóng theothời gian của các mạch tuần tự điển hình.b) Yêu cầuSinh viên nhớ lại các phát biểu sử dụng trong mạch tuần tự, và viết lạitrong tệp nguồn và tệp kiểm tra.2.2. Tóm tắt lý thuyếta) Thanh ghiThanh ghi sử dụng Dflipflop và đồng bộ theo sườn dương của xung nhịphệ thống. Giá trị đầu ra Q thay đổi chỉ tại các thời điểm có sườn dương củatín hiệu clk, tại thời điểm đó giá trị của Q sẽ được gán bằng giá trị đầu vào Dcủa thanh ghi. Tại các thời điểm khác giá trị của Q được giữ không đổi.registerD QCLK, RESETDQ QClkDQ QClkN bit N bitN DFlip FlopXXX 15 10 25 30XXX 0 10 25 30XXXX 0 10 25 30CLKRESETD QASYNCQSYNCHình 2.1. Cấu trúc và giản đồ thời gian của thanh ghib) Bộ đếmBộ đếm đồng bộ là một trường hợp đặc biệt của bộ cộng tích lũy. Bộ cộngtích lũy là sự kết hợp giữa bộ cộng và thanh ghi. Đầu ra của bộ cộng được42nối với đầu vào của thanh ghi, còn đầu ra của thanh ghi được dẫn vào cổngB của bộ cộng, sau mỗi xung nhịp đồng hồ giá trị này được cộng thêm giá trịở cổng A và lưu lại vào thanh ghi. Cấu trúc của khối thể hiện trên hình sau.++counterCLKRESETCNTENABLEN bitΣregister1A BSUM CNTN bit N bitCLK, RESET, ENABLEXXX 0 2 3 5CLKRESETCNT 1 4ENABLESUM XXX 1 2 3 4 5 6Hình 2.2. Cấu trúc của bộ đếm kết hợp bộ cộng và thanh ghiNếu cho đầu vào của bộ cộng A luôn nhận giá trị bằng 1 thì sau mỗixung nhịp giá trị trong thanh ghi tăng thêm 1. Trong trường hợp đếm ngượccho giá trị của A bằng 1. Giá trị đếm là giá trị lưu trong thanh ghi, xung đếmchính là xung nhịp hệ thống.2.3. Nội dung thí nghiệmNội dung 1: Viết mã nguồn VHDL và thực hành mô phỏng thanh ghitrên công cụ mô phỏng ModelSim.Nội dung 2: Viết mã nguồn VHDL và thực hành mô phỏng bộ đếmtrên công cụ mô phỏng ModelSim.Nội dung 3: Bài tập phát triển thêm.2.4. Trình tự thí nghiệma) Chuẩn bị thí nghiệm Vị trí thực hành bài thí nghiệm:+ Các bài thí nghiệm môn học Thiết kế logic số được tiến hành tạiphòng thí nghiệm của Bộ môn Kỹ thuật Xung Số Vi xử lý.+ Mỗi nhóm học viên được bố trí ngồi một bàn thí nghiệm.43 Dụng cụ, vật tư và trang thiết bị thí nghiệm:+ Trên mỗi bàn thí nghiệm bố trí 01 máy tính, 01 panel thí nghiệm.+ Học viên tự đăng ký mượn thêm các dụng cụ phục vụ thí nghiệm vàcác linh kiện liên quan đến bài thí nghiệm với giáo viên hướng dẫn thí nghiệm.+ Trong trường hợp khi giáo viên hướng dẫn thí nghiệm đã hướng dẫnđầy đủ các quy tắc an toàn về nguồn điện, an toàn mạch thí nghiệm mà học viênlàm sai, không tuân thủ theo các nguyên tắc, gây ra hiện tượng chập cháy,hỏng hóc linh kiện sẽ phải hoàn toàn chịu trách nhiệm.b) Tiến hành thí nghiệmNội dung 1: Viết mã nguồn VHDL và thực hành mô phỏng thanh ghitrên công cụ mô phỏng ModelSim.Bước 1. Tạo thư mục lưu trữ.Tạo thư mục con register trong thư mục D:DLD_LabsBasic_Labs.Bước 2. Tạo file mã nguồn.Tạo file mã nguồn cho register trong Notepad++ bằng cách chọn menuFilenew, soạn thảo xong chọn FileSave, và lưu file dưới tên reg.vhd trongthư mục làm việc D:DLD_LabsBasic_Labs egister, lưu ý để lưu dưới dạng.vhd ở ô chọn File types phải chọn là All files() (Hình 2.3).Nội dung của tệp mã nguồn VHDL reg.vhd như sau. reg.vhd library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity reg isgeneric(n_width: integer:=32);port(D : in std_logic_vector((n_width1)downto 0);Q : out std_logic_vector((n_width1) downto 0);CLK : in std_logic;RESET: in std_logic);end entity;architecture behavioral of reg isbeginreg_p: process (CLK)beginif RESET = 1 thenQ 0);elsif CLK = 1 and CLKevent thenQ

Ngày đăng: 14/08/2020, 14:57

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan