Bài tập lớn Thiết kế VLSI: Thiết kế Baseband điều chế QPSK trên phần cứng bằng ngôn ngữ Verilog (có code)

29 249 1
Bài tập lớn Thiết kế VLSI: Thiết kế Baseband điều chế QPSK trên phần cứng bằng ngôn ngữ Verilog (có code)

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Bài tập lớn Thiết kế VLSI Đại học Bách khoa Hà Nội: Thiết kế Baseband điều chế QPSK trên phần cứng bằng ngôn ngữ Verilog. Trong file đính kèm có code đầy đủ chạy trên phần mềm Quartus, Modelsim và code thu gọn gồm các file .v

TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI VIỆN ĐIỆN TỬ - VIỄN THÔNG BÁO CÁO BÀI TẬP LỚN THIẾT KẾ VLSI Đề tài: THIẾT KẾ BASEBAND ĐIỀU CHẾ QPSK TRÊN PHẦN CỨNG BẰNG NGƠN NGỮ VERILOG Nhóm sinh viên thực hiện: Họ tên MSSV Lớp Nguyễn Minh Hiếu 20151336 Điện tử K60 Nguyễn Minh Hiếu 20151337 Điện tử K60 LỜI NÓI ĐẦU Trong học phần Kiến trúc máy tính, để phục vụ cho tập lớn cuối kì nhóm em giao đề tài “Thiết kế Baseband điều chế QPSK phần cứng ngôn ngữ Verilog” để thực Đề tài khó hay, vận dụng nhiều kiến thức học để làm Nhóm em xin chân thành cảm ơn thầy Phan Xuân Vũ hướng dẫn dạy lớp giúp chúng em hoàn thành tập lớn MỤC LỤC DANH MỤC HÌNH VẼ DANH MỤC BẢNG BIỂU CHƯƠNG CƠ SỞ LÍ THUYẾT 1.1 Giới thiệu kĩ thuật điều chế số Trong ngành Điện tử - Viễn thơng, điều chế q trình thay đổi nhiều tính chất sóng tuần hồn, với tín hiệu điều chế chứa thông tin cần truyền Hầu hết hệ thống vô tuyến kỉ XX sử dụng điều chế tần số (Frequency Modulation - FM) điều chế biên độ (Amplitude Modulation – AM) để phát sóng vơ tuyến Mục đích điều chế tương tự truyền tín hiệu băng sở (baseband), tín hiệu thông thấp, qua băng thông tương tự tần số khác Đối với điều chế số, mục đích phương pháp để truyền luồng bit số qua kênh truyền tương tự Các kĩ thuật điều chế tương tự số tạo điều kiện cho ghép kênh phân chia tần số (Frequency Division Multiplexing – FDM), số tín hiệu thơng thấp truyền đồng thời thiết bị vật lí dùng chung, sử sụng kênh băng thông riêng biệt (các tần số sóng mang khác nhau) Trong tập lớn này, mục đích điều chế số baseband để truyền luồng bit số qua kênh baseband, điển hình dây đồng không lọc bus nối tiếp mạng cục (Local Area Network – LAN) Trong điều chế số, tín hiệu sóng mang tương tự điều chế tín hiệu rời rạc Các phương pháp điều chế số xem chuyển đổi số sang tương tự ngược lại, giải điều chế xem chuyển đổi tương tự sang số Dưới kĩ thuật điều chế số phổ biến dựa khóa (keying): • Khóa dịch biên độ (Amplitude-Shift Keying – ASK): Các biên độ sử dụng hữu hạn • Khóa dịch biên tần số (Frequency-Shift Keying – FSK): Các tần số sử dụng hữu hạn • Khóa dịch pha (Phase-Shift Keying – PSK): Các pha sử dụng hữu hạn • Điều chế biên độ cầu phương (Quadrature Amplitude Modulation – QAM): Các pha biên độ sử dụng hữu hạn, với tối thiểu pha tần số 1.2 Kĩ thuật khóa dịch pha cầu phương (QPSK) 1.2.1 Giới thiệu kĩ thuật PSK Trong kĩ thuật này, pha gán với mẫu bit nhị phân nhất, với số bit mẫu Xét sóng mang thơng tin có dạng: Sử dụng khoảng di tần θ(t), ta có tín hiệu điều chế Đặt , , ta có: Như vậy, thay phát tín hiệu (0,1) ta phát tín hiệu có thành phần hàm trực giao Kĩ thuật PSK sử dụng N bit để mã hóa gọi PSK-MP 1.2.2 Kĩ thuật PSK-2P Kĩ thuật sử dụng bit để mã hóa cho pha Có kiểu điều chế hình 1.1 1.2, tương ứng bảng mã hóa 1.1 1.2 Hình 1.2 Điều chế PSK-2P (kiểu 2) Hình 1.1 Điều chế PSK-2P (kiểu 1) Bảng 1.2 Mã hóa PSK-2P (kiểu 2) Bảng 1.1 Mã hóa PSK-2P (kiểu 1) Bit mã hóa θ(t ) 0 π Bit mã hóa θ(t) A 00 π/2 A -A 01 3π/ -A Như vậy, với kiểu điều chế PSK-2P, ta có tín hiệu điều chế sau: • Kiểu 1: • Kiểu 2: 1.2.3 Kĩ thuật QPSK PSK-4P hay QPSK (Quadrature PSK), sử dụng bit để mã hóa cho pha Kĩ thuật có kiểu điều chế tập lớn nhóm em trình bày kiểu hình 1.3, với điều kiện mẫu mã hóa liên tiếp sai khác bit Hình 1.3 Điều chế QPSK Bảng 1.3 bảng mã hóa QPSK 10 Bảng 1.3 Mã hóa QPSK Bit mã hóa θ(t ) 00 A 01 π/2 -A 11 π -A 10 3π/ A Như ta có tín hiệu sau điều chế: Có thể thấy tín hiệu điều chế QPSK tổng tín hiệu điều chế PSK, điều áp dụng để xây dựng sơ đồ điều chế QPSK 1.2.4 Sơ đồ điều chế QPSK Sơ đồ điều chế QPSK thể hình 1.4 Hình 1.4 Sơ đồ điều chế QPSK 11 Dòng bit đầu vào đưa qua phân tách liệu, liệu sau phân tách đưa vào PSK2P-Q PSK2P-I , kết hợp với tạo dao động tạo sóng mang thông tin để điều chế PSK-2P Cuối cộng tín hiệu từ PSK-2P ta thu tín hiệu điều chế QPSK 1.3 Truyền thông tin xa Tín hiệu baseband sau điều chế có dải tần thấp, khơng truyền xa Muốn truyền tín hiệu xa mà giữ thơng tin gốc ta cần sử dụng sóng mang (carrier wave) Sóng mang có biên độ tần số lớn Giả sử ta có tín hiệu cần truyền là: Tín hiệu sóng mang là: , với Nhân tín hiệu cần truyền với sóng mang, ta có tín hiệu truyền xa là: Tín hiệu có biên độ biên độ tín hiệu gốc tần số lớn nhiều lần, đường bao tín hiệu có dạng tín hiệu gốc (hình 1.5) Phương pháp gọi điều chế biên độ (Amplitude Modulation – AM) 12 Đầu vào tín hiệu điều khiển bit dùng để xác định dạng sóng đầu dựa vào lí thuyết PSK-2P mục 1.2.2 Dưới đoạn code Verilog thiết kế khối module PSK2P_Q #( parameter bit_length=8, parameter number_path=64 ) ( input clk, input [1:0] dk, output [7:0] out_signal ); wire [7:0] out90, out270; reg [7:0] out_next, out_reg; always @(posedge clk) begin out_reg

Ngày đăng: 15/03/2020, 16:31

Từ khóa liên quan

Mục lục

  • DANH MỤC HÌNH VẼ

  • DANH MỤC BẢNG BIỂU

  • CHƯƠNG 1. CƠ SỞ LÍ THUYẾT

    • 1.1 Giới thiệu kĩ thuật điều chế số

    • 1.2 Kĩ thuật khóa dịch pha cầu phương (QPSK)

      • 1.2.1 Giới thiệu kĩ thuật PSK

      • 1.2.2 Kĩ thuật PSK-2P

      • 1.2.3 Kĩ thuật QPSK

      • 1.2.4 Sơ đồ điều chế QPSK

      • 1.3 Truyền thông tin đi xa

      • CHƯƠNG 2. THIẾT KẾ BASEBAND ĐIỀU CHẾ QPSK TRÊN PHẦN CỨNG

        • 2.1 Thiết kế tổng quan

        • 2.2 Khối tách dữ liệu

        • 2.3 Các khối điều chế PSK-2P

        • 2.4 Khối chia tần số

        • 2.5 Baseband điều chế QPSK hoàn chỉnh

        • CHƯƠNG 3. MÔ PHỎNG BASEBAND ĐIỀU CHẾ QPSK

          • 3.1 Thiết kế testbench

          • 3.2 Kết quả mô phỏng

          • KẾT LUẬN

          • TÀI LIỆU THAM KHẢO

          • PHỤ LỤC

Tài liệu cùng người dùng

Tài liệu liên quan