Thiết kế robot dò đường và tránh vật cản trong ma trận

34 318 0
Thiết kế robot dò đường và tránh vật cản trong ma trận

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đề tài: Thiết kế Robot dò đường tránh vật cản ma trận MỤC LỤC MỤC LỤC LỜI NÓI ĐẦU CHƯƠNG TỔNG QUAN VỀ ĐỒ ÁN I GIỚI THIỆU VỀ HỆ THỐNG SỐ Ngôn Ngữ VHDL………….………………………………………… ……5 Các Đặc Điểm II MƠ HÌNH ROBOT TỰ HÀNH…… .8 III MỤC TIÊU VÀ NỘI DUNG ĐỒ ÁN……………………………………… Mục tiêu .9 Nội dung………… ……………………………………………………….9 CHƯƠNG THIẾT KẾ ĐỒ ÁN 10 I GIỚI THIỆU VỀ KIT CPLD COOLRUNNER – II 256 TQ144………….12 Lập trình kit……………………………………………………… 12 II THIẾT KẾ VÀ CHẾ TẠO ROBOT……………… ……………………… 15 Sơ đồ khối tổng thể Robot 15 Module siêu âm…………… .15 Module hồng ngoại…………… 18 Module cầu H 20 Module nguồn .22 Mơ hình Robot thực tế 22 CHƯƠNG III LẬP TRÌNH ROBOT 24 I THUẬT TỐN DỊ ĐƯỜNG 24 Xây dựng ma trận 24 Sơ đồ thuật toán 25 II CODE LẬP TRÌNH………… .27 CHƯƠNG IV KẾT LUẬN 32 I ĐÁNH GIÁ – KẾT LUẬN……………………………………………… ….32 II HƯỚNG PHÁT TRIỂN CỦA ĐỒ ÁN… 32 LỜI NÓI ĐẦU Ngày điều khiển tự động trở thành nhu cầu thiếu người Dưới xuất học thuyết ứng dụng cụ thể đời sống ngày, nói điều khiển tự động chi phối dần sống Con người cố gắng sáng tạo robot có khả làm việc thay cho người Chúng ta thường bắt gặp robot dây chuyền công nghiệp sản xuất tự động hay robot giúp việc gia đình Để tìm ý tưởng sáng tạo hay hàng năm diễn thi robocon châu thái bình dương tiền đề để tạo robot có khả áp dụng vào thực tế Cũng mục đích mà chúng em thực đồ án “Điều khiển robot dò đường tránh vật cản ma trận”, bước khởi đầu lập trình robot để robot thực cơng việc Với công nghệ Logic số phát triển mạnh mẽ công nghệ chủ đạo việc phát triển sản phẩm điện-điện tử công nghiệp dân dụng,điều khiển tự động,viễn thông công nghệ thông tin.Hiện có nhiều loại cơng nghệ logic số khác sử dụng để thực thiết kế logic số.Một số cơng nghệ logic khả trình (Programmable Logic).Một cấu kiện logic lập trình được(PLD) IC số mà người dùng cấu hình để chúng có khả thực chức logic mong muốn.Đây chip LSI có chứa cấu trúc “bình thường” cho phép nhà thiết kế tạo tùy biến cho để dùng cho ứng dụng đặc biệt nào,tức người dùng lập trình để thực chức cần thiết cho ứng dụng họ Các PLD có ưu điểm sau: Thời gian thiết kế ứng dụng ngắn Chi phí phát triển thấp Giảm thiếu yêu cầu khoảng trống bảng mạch Giảm thiểu yêu cầu điện Bảo đảm tính bảo mật thiết kế Tốc độ chuyển mạch nhanh Mật độ tích hợp cao Chi phí sản xuất số lượng lớn thấp PLD cho phép nhà thiết kế có nhiều phương tiện linh động để thí nghiệm với thiết kế chúng lập trình lại vài giây Với nhiều ưu điểm nên có số lượng lớn PLD nhà sản xuất IC tạo với nhiều tính đa dạng nhiều tùy chọn có sẵn để nhà thiết kế mạch sử dụng cách phổ biến CHƯƠNG TỔNG QUAN VỀ ĐỒ ÁN I GIỚI THIỆU VỀ HỆ THỐNG SỐ NGƠN NGỮ VHDL VHDL ngơn ngữ mơ tả phần cứng cho mạch tích hợp tốc độ cao, loại ngôn ngữ mô tả phần cứng phát triển dùng cho chương trình VHSIC( Very High Speed Itergrated Circuit) quốc phòng Mỹ Mục tiêu việc phát triển VHDL có ngôn ngữ mô phần cứng tiêu chuẩn thống cho phép thử nghiệm hệ thống số nhanh cho phép dễ dàng đưa hệ thống vào ứng dụng thực tế Ngôn ngữ VHDL ba công ty Intermetics, IBM Texas Instruments bắtđầu nghiên cứu phát triển vào tháng năm 1983 Phiên công bố vào tháng 8-1985 Sau VHDL đề xuất để tổ chức IEEE xem xét thành tiêu chuẩn chung Năm 1987 đưa tiêu chuẩn VHDL( tiêu chuẩn IEEE-1076 1987) CÁC ĐẶC ĐIỂM VHDL phát triển để giải khó khăn việc phát triển, thay đổi lập tài liệu cho hệ thống số VHDL ngôn ngữ độc lập không gắn với phương pháp thiết kế, mô tả hay công nghệ phần cứng Người thiết kế tự lựa chọn cơng nghệ, phương pháp thiết kế sử dụng ngôn ngữ Và đem so sánh với ngôn ngữ mô phần cứng khác ta thấy VHDL có số ưu điểm hẳn là: - Thứ tính cơng cộng: VHDL phát triển bảo trợ phủ Mỹ tiêu chuẩn IEEE VHDL hỗ trợ nhiều nhà sản xuất thiết bị nhiều nhà cung cấp công cụ thiết kế mô hệ thống - Thứ hai khả hỗ trợ nhiều công nghệ nhiều phương pháp thiết kế: VHDL cho phép thiết kế nhiều phương pháp ví dụ phương pháp thiết kế từ xuống, hay từ lên dựa vào thư viện sẵn có VHDL hỗ trợ cho nhiều loại công cụ xây dựng mạch sử dụng công nghệ đồng hay khơng đồng bộ, sử dụng ma trận lập trình hay sử dụng mảng ngẫu nhiên - Thứ ba tính độc lập với cơng nghệ: VHDL hồn tồn độc lập với công nghệ chế tạo phần cứng Một mô tả hệ thống dùng VHDL thiết kế mức cổng chuyển thành tổng hợp mạch khác tuỳ thuộc công nghệ chế tạo phần cứng đời áp dụng cho hệ thống thiết kế - Thứ tư khả mô tả mở rộng: VHDL cho phép mô tả hoạt động phần cứng từ mức hệ thống số mức cổng VHDL có khả mô tả hoạt động hệ thống nhiều mức sử dụng cú pháp chặt chẽ thống cho mức Như ta mô thiết kế bao gồm hệ mô tả chi tiết - Thứ năm khả trao đổi kết quả: Vì VHDL tiêu chuẩn chấp nhận, nên mơ hình VHDL chạy mơ tả đáp ứng tiêu chuẩn VHDL Các kết mô tả hệ thống trao đổi nhà thiết kế sử dụng công cụ thiết kếkhác tuân theo tiêu chuẩn VHDL Cũng nhóm thiết kế trao đổi mơ tả mức cao hệ thống hệ thống lớn (trong hệ thiết kế độc lập) - Thứ sáu khả hỗ trợ thiết kế mức lớn khả sử dụng lại thiết kế: VHDL phát triển ngôn ngữ lập trình bậc cao, sử dụng để thiết kế hệ thống lớn với tham gia nhóm nhiều người Bên ngơn ngữ VHDL có nhiều tính hỗ trợ việc quản lý, thử nghiệm chia sẻ thiết kế Và cho phép dùng lại phần có sẵn II MƠ HÌNH ROBOT TỰ HÀNH Một ứng dụng “Hệ Thống Số” mơ hình Robot tự hành hay robot di động (mobile robot hay viết tắt mobot), định nghĩa loại xe robot có khả tự dịch chuyển, tự vận động (có thể lập trình lại được) điều khiển tự động có khả hồn thành cơng việc giao Theo lý thuyết, môi trường hoạt động robot tự hành đất, nước, khơng khí, khơng gian vũ trụ hay tổ hợp chúng Địa hình bề mặt mà robot di chuyển phẳng thay đổi, lồi lõm Theo phận thực chuyển động, ta chia robot tự hành thành loại: chuyển động chân chuyển động bánh a) b) Hình Robot tự hành III MỤC TIÊU VÀ NỘI DUNG ĐỒ ÁN Mục tiêu Đồ án sâu nghiên cứu, chế tạo Robot tự hành di chuyển bánh, dò đường theo vạch trắng, tránh vật cản tìm đường đích ma trận, sử dụng cảm biến hồng ngoại cảm biến siêu âm SRF05, xử lý điều khiển kit Cool Runner II Nội dung Nội dung nghiên cứu bao gồm phần sau: - Tìm hiểu KIT COOL RUNNER II - Xây dựng sơ đồ khối tổng thể cho Robot - Thiết kế, chế tạo phận(Module) Robot - Xây dựng thuật tốn lập trình cho Robot - Thử nghiệm, kiểm tra lỗi - Kết luận: Đánh giá hướng phát triển CHƯƠNG THIẾT KẾ ĐỒ ÁN I Giới thiệu kit thử nghiệm CPLD COOLRUNNER – II 256 TQ144 The CooRunner – II 256 TQ144 kit CPLD xilinx Bộ kit bao gồm nguồn cung cấp với hiệu cao,bộ tạo dao động cấu hình , cổng giao tiếp I/0,đồng hồ đo thời gian thực cổng USB cấp nguồn lập trình cho CPLD Bộ KIT có cổng mở rộng với 64 tín hiệu giao tiếp từ CPLD mạch giúp mở rộng khả kết nối Các đặc điểm bật KIT: 256 khối Coolrunner – II CPLD gói TQ 144 Có cổng USB cấp nguồn ,lập trình chuyển liệu người dung Bộ dao động thay đổi (1000/100/10khz) ,them vào có khe nắp dao động thạch anh thứ 2, 64 tín hiệu I/0 cổng giao tiếp(32 cổng nối tiếp ,32 cổng song song) Các miếng đệm cho SPI PROM gắn Hình CoolRunner – II 256 TQ144 Hình Sơ đồ khối KIT CPLD CoolRunner – II 256 TQ144 10 Điện áp vào 12VDC từ Pin cho qua cầu diode để tránh lỗi mắc nhầm cực +, - Pin Nguồn điện áp điều chỉnh được: Điện áp vào 12VDC – Điện áp từ 1,25V-10V Sử dụng cho động DC Mơ hình Robot thực tế Sau lắp rắp module Robot sản phẩm hồn thiện gồm khung xe có gắn động DC ghép với bánh xe, cặp cảm biến hồng ngoại đặt 20 phía trước xe để giúp Robot bám vạch, cặp cảm biến hồng ngoại lắp bên xe để phát ngã ngã trường hợp đích, cảm biến siêu âm đặt phía trước xe để phát vật cản, mạch nguồn mạch cầu H gắn tầng khung xe, mạch hồng ngoại Kit Coolruner II gắn tầng khung xe CHƯƠNG III LẬP TRÌNH ROBOT I THUẬT TỐN DỊ ĐƯỜNG Xây dựng ma trận Hình 16 Ma trận đường Từ ma trận ta thấy đường ngã rẽ cần phải thiết lập cho Robot nhờ vào cảm biến hồng ngoại gồm có: 21 - Ngã dọc: trường hợp cảm biến hồng ngoại bên trái nhận mức (vạch đen), cảm biến hồng ngoại phía trước bên phải nhận mức (vạch trắng) - Ngã ngang: trường hợp cảm biến hồng ngoại phía trước nhận mức 1, cảm biến hồng ngoại bên nhận mức - Ngã 4: trường hợp cảm biến hồng ngoại phía trước nhận mức cảm biến hồng ngoại bên nhận mức - Đích: trường hợp cảm biến hồng ngoại bên nhận mức cảm biến hồng ngoại phía trước nhận mức Sơ đồ thuật tốn Hình 17 Sơ đồ thuật tốn Ban đầu robot xuất phát điểm đầu cho trước đích xác định , sau thẳng modul hồng ngoại trước nhận tín hiệu L1L2=”11” , 22 khơng gặp vật cản tiến phía trước gặp ngõ cụt , có vật cản robot lùi lại , gặp ngã tư L3 L4 =”11” robot quay phải , thẳng , gặp ngã tư rẽ trái nhứ xét phía bên , k có robot tiến ngõ cụt sau rẽ phải đích , robot dừng lại II CODE LẬP TRÌNH -library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity test2 is port ( clkcpld : in std_logic; ech : in std_logic; trigger : out std_logic; led : out std_logic); end test2; architecture beh of test2 is component machtonghop is Port ( clkcpld : in std_logic; ech : in std_logic; trigger : out std_logic; distanceout : out std_logic_vector(8 downto 0)); end component; component test is port( pulse : in std_logic_vector(8 downto 0); led : out std_logic); end component; signal pulseout :std_logic_vector(8 downto 0); begin U6 : machtonghop port map(clkcpld => 23 clkcpld,ech =>ech,trigger=>trigger,distanceout=>pulseout); U7 : test port map(pulse=>pulseout,led=>led); end beh; khoi tao tan so-library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_arith.all; entity tanso is Port ( clk : in STD_LOGIC; tan so thach anh tu kit CPLD 8MHz clk_1us :out std_logic; clk_58us : out std_logic ); end tanso; architecture Beh of tanso is signal dem1us : integer range downto 0; signal dem58us: integer range 463 downto 0; begin tao xung 1us tao_clk1us :process (clk) begin if(clk'event and clk = '1') then if dem1us= then dem1us if( L1='1' and L2='1') then robot

Ngày đăng: 21/11/2019, 20:18

Từ khóa liên quan

Mục lục

  • MỤC LỤC

  • LỜI NÓI ĐẦU

  • CHƯƠNG 1. TỔNG QUAN VỀ ĐỒ ÁN

    • I. GIỚI THIỆU VỀ HỆ THỐNG SỐ.

    • 1. NGÔN NGỮ VHDL

    • II. MÔ HÌNH ROBOT TỰ HÀNH.

    • Một trong các ứng dụng của “Hệ Thống Số” là mô hình Robot tự hành hay robot di động (mobile robot hay được viết tắt là mobot), được định nghĩa là một loại xe robot có khả năng tự dịch chuyển, tự vận động (có thể lập trình lại được) dưới sự điều khiển tự động có khả năng hoàn thành công việc được giao. Theo lý thuyết, môi trường hoạt động của robot tự hành có thể là đất, nước, không khí, không gian vũ trụ hay tổ hợp giữa chúng. Địa hình bề mặt mà robot di chuyển trên đó có thể bằng phẳng hoặc thay đổi, lồi lõm. Theo bộ phận thực hiện chuyển động, ta có thể chia robot tự hành thành 2 loại: chuyển động bằng chân và chuyển động bằng bánh.

      • a) b) Hình 1. Robot tự hành

      • III. MỤC TIÊU VÀ NỘI DUNG ĐỒ ÁN 1. Mục tiêu Đồ án này đi sâu nghiên cứu, chế tạo Robot tự hành di chuyển bằng bánh, dò đường theo vạch trắng, tránh vật cản và tìm được đường về đích trong ma trận, sử dụng cảm biến hồng ngoại và cảm biến siêu âm SRF05, được xử lý và điều khiển bởi kit Cool Runner II

      • 2. Nội dung Nội dung nghiên cứu bao gồm những phần sau: - Tìm hiểu về KIT COOL RUNNER II

      • CHƯƠNG 2. THIẾT KẾ ĐỒ ÁN

        • I. Giới thiệu về kit thử nghiệm CPLD COOLRUNNER – II 256 TQ144

        • 3.2.Lập trình trên KIT thử nghiệm

          • II. THIẾT KẾ VÀ CHẾ TẠO ROBOT 1. Sơ đồ khối tổng thể Robot

          • 2. Module siêu âm Sử dụng module siêu âm SRF05.

          • 3. Module hồng ngoại Ở đồ án này, chúng em sử dụng ma trận 2x3 vạch trắng nền đen. Vì vậy, Robot sẽ phải dò đường bám theo vạch trắng. Và để dò đường thì chúng em sử dụng cảm biến hồng ngoại(1 mắt phát và 1 mắt thu), phát hiện vạch trắng – đen.

          • 4. Module cầu H

          • 5. Module nguồn

          • Sử dụng cho động cơ DC.

          • 6. Mô hình Robot thực tế Sau khi lắp rắp các module trên Robot được sản phẩm hoàn thiện gồm 1 khung xe có gắn 2 động cơ DC ghép với 2 bánh xe, 2 cặp cảm biến hồng ngoại đặt phía trước xe để giúp Robot bám vạch, 2 cặp cảm biến hồng ngoại lắp 2 bên xe để phát hiện các ngã 3 ngã 4 và trường hợp về đích, 1 cảm biến siêu âm đặt phía trước xe để phát hiện vật cản, mạch nguồn và mạch cầu H được gắn ở tầng dưới khung xe, mạch hồng ngoại và Kit Coolruner II được gắn ở tầng trên khung xe

          • CHƯƠNG III. LẬP TRÌNH ROBOT

            • I. THUẬT TOÁN DÒ ĐƯỜNG 1. Xây dựng ma trận Hình 16. Ma trận đường đi

            • - Ngã 4: là trường hợp 1 trong 2 cảm biến hồng ngoại phía trước nhận mức 0 và 2 cảm biến hồng ngoại 2 bên cũng nhận mức 0. - Đích: là trường hợp chỉ 1 trong 2 cảm biến hồng ngoại 2 bên nhận mức 1 và 2 cảm biến hồng ngoại phía trước nhận mức 1. 2. Sơ đồ thuật toán

            • Hình 17. Sơ đồ thuật toán

Tài liệu cùng người dùng

Tài liệu liên quan