Cấu trúc chương trình VHDL cơ bản

8 169 2
Cấu trúc chương trình VHDL cơ bản

Đang tải... (xem toàn văn)

Thông tin tài liệu

Cấu trúc chương trình VHDL (nên chỉnh sửa, viết code VHDL dùng notepad++) -Khai báo thư viện LIBRARY ieee; USE ieee.std_logic_1164.all; USE work.my_package.all; -Khai báo entity, port vào/ra, ENTITY entity_name IS PORT ( port_name: port_mode signal_type; port_name: port_mode signal_type; ); END [ENTITY] [entity_name]; -Khai báo hoạt động entity, mối liên hệ vào ARCHITECTURE architecture_name OF entity_name IS [architecture_declarative_part] BEGIN architecture_statements_part END [ARCHITECTURE] [architecture_name]; Ví dụ cách viết chương trình VHDL thực mô MaxPlus II Bước Chuẩn bị code VHDL - Có thể sử dụng trực tiếp chương trình editor có sẵn Maxplus - Hoặc dùng chương trình editor hỗ trợ cú pháp VHDL - Lưu file vhdl dạng “.vhd” Trong trường hợp func1.vhd Bước Biên dịch chương trình VHDL - Nhấn Start - Nếu chương trình khơng lỗi khơng có thơng báo sai code Bước Xem mạch thiết kế dạng khối - Mở Graphic Editor - Nhập Symbol - Mở file *.gdf (có biên dịch chương trình) - Sơ đồ khối mạch xuất Bước 4: Mô dạng song - Mở Waveform Editor - Nhập file *.snf có biên dịch *.vhd - Chọn ngõ vào/ra - Chọn dạng song logic (0 1) cho cổng vào - Lưu file với dạng *.scf - Chạy mô File đính kèm Với ví dụ dùng làm mô cho mạch logic đơn giản func1.vhd ... viết chương trình VHDL thực mô MaxPlus II Bước Chuẩn bị code VHDL - Có thể sử dụng trực tiếp chương trình editor có sẵn Maxplus - Hoặc dùng chương trình editor hỗ trợ cú pháp VHDL - Lưu file vhdl. .. dịch chương trình VHDL - Nhấn Start - Nếu chương trình khơng lỗi khơng có thơng báo sai code Bước Xem mạch thiết kế dạng khối - Mở Graphic Editor - Nhập Symbol - Mở file *.gdf (có biên dịch chương. .. mạch thiết kế dạng khối - Mở Graphic Editor - Nhập Symbol - Mở file *.gdf (có biên dịch chương trình) - Sơ đồ khối mạch xuất Bước 4: Mô dạng song - Mở Waveform Editor - Nhập file *.snf có biên

Ngày đăng: 21/08/2019, 14:15

Từ khóa liên quan

Mục lục

  • Cấu trúc chương trình VHDL cơ bản

  • Ví dụ về cách viết chương trình VHDL cơ bản và thực hiện mô phỏng trên MaxPlus II.

    • Bước 1. Chuẩn bị code VHDL

    • Bước 2. Biên dịch chương trình VHDL

    • Bước 3. Xem mạch thiết kế ở dạng khối

    • Bước 4: Mô phỏng bằng dạng song

    • File đính kèm

Tài liệu cùng người dùng

Tài liệu liên quan