Thiết kế hệ thống đèn giao thông ngã tư

33 218 0
Thiết kế hệ thống đèn giao thông ngã tư

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Thiết kế hệ thống đèn giao thông ngã tư

TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI VIỆN ĐIỆN TỬ - VIỂN THÔNG - - BÁO CÁO KĨ THUẬT VI XỬ LÝ Đề tài: Thiết kế hệ thống đèn giao thông ngã Giảng viên hướng TS Nguyễn Hồng Dũng dẫn: Nhóm sinh viên: Lớp KSTN ĐTVT K61 Họ tên MSSV Đào Duy Hùng 20161923 Đỗ Quốc Hưng 20162007 Hà Nội, tháng năm 2019 Lời nói đầu Trong năm gần đây, với phát triển mạnh mẽ ngành công nghiệp chế tạo linh kiện bán dẫn vi mạch tổng hợp, kéo theo sụ đời phát triển kĩ thuật vi điều khiển Với nhiều ưu điểm, kĩ thuật vi điều khiển sử dụng rộng rãi nhiều lĩnh vực khác như: mạch điều khiển hệ thống đèn, mạch đếm sản phẩm, mạch điều khiển thiết bị điều khiển từ xa,… Bằng cách áp dụng kĩ thuật vi xử lý vào trình sản xuất vận hành, người giải tạo nhiều ứng dụng sản phẩm hữu ích làm thay đổi sống Một ứng dụng thiết thực vi điều khiển hệ thống điều khiển đèn giao thông ngã mà thường thấy Đối với hệ thống giao thơng nước ta nay, vấn đề an tồn tránh ùn tắc ngã vấn đề cộm mang nhiều thách thức tồn xã hội quan tâm Vì vậy, người phương tiện hướng dẫn giao thông mang ý nghĩa vô quan trọng Hệ thống đèn giao thông không giúp dẫn, phân luồng giao thông, mà giúp hạn chế xung đột xảy tham gia lưu thông ngã tư, hạn chế ùn tắc Do đó, cần thiết phải thiết kế cho đời mạch điều khiển hệ thống đèn giao thông cho thông minh, hiệu dễ sử dụng Cũng lý mà nhóm chúng em gồm thành viên là: Đào Duy Hùng, Đỗ Quốc Hưng chọn đề tài “xây dựng hệ thống đèn giao thông sử dụng vi điều khiển Atmega328p để hồn thành tập lớn cho mơn Kĩ thuật vi xử lý Báo cáo nhóm xây dựng theo sườn mơ hình bước thiết kế sản phẩm mạch điện tử, trình bày đầy đủ trình hình thành ý tưởng, nguyên lý hoạt động, hoàn thiện sản phẩm Sản phẩm báo cáo chúng em nhiều thiết sót, mong thầy góp ý để chúng em hồn thiện Chúng em chân thành cảm ơn thầy Nguyễn Hồng Dũng tận tình bảo góp ý, gợi ý giải pháp để nhóm hồn thành đề tài! Mục lục Lời nói đầu Mục lục Danh sách bảng biểu Chương Mô tả kỹ thuật xác lập kế hoạch 1.1 Tổng quan xác định đề tài 1.2 Mô tả kỹ thuật 1.2.1 Yêu cầu chức 1.2.2 Yêu cầu phi chức 1.3 Xác lập kế hoạch 1.3.1 Xác lập công việc nhân lực 1.3.2 Kế hoạch chung 1.3.3 Bảng nhân lực 1.3.4 Phân chia công việc Chương Thiết kế kiến trúc 10 2.1 Sơ đồ khối 10 2.2 Khối nguồn 11 2.2.1 Giới thiệu 7805 11 2.2.2 Sơ đồ nguyên lý 11 2.3 Khối vi điều khiển 12 2.3.1 ATMEGA 328P 12 2.3.2 Sơ đồ nguyên lý khối xử lý 15 2.4 Khối nút điều khiển 16 2.5 Khối dịch bit 16 2.6 Khối hiển thị 19 Chương Cấu trúc chương trình vi điều khiển 21 3.1 Sơ đồ trạng thái 21 3.2 Chương trình xử lý tín hiệu từ khối nút điều khiển 22 3.3 Chương trình truyền liệu khối dịch bit 22 3.4 Chương trình quét led 23 3.5 Chương trình mơ thời gian thực 23 Chương Thử nghiệm mô 24 4.1 Mô proteus 24 4.2 Thử nghiệm bo trắng 24 4.3 Vẽ mạch nguyên lí PCB Altium Designer 25 4.4 In mạch hoàn thiện sản phẩm 26 4.5 Nhận xét 27 4.5.1 Ưu điểm 27 4.5.2 Nhược điểm 27 Kết luận 28 Tài liệu tham khảo 29 Phụ lục 30 Phụ lục 1: Code chương trình xử lý tín hiệu khối nút điều khiển 30 Phụ lục 2: Code chương trình truyền liệu khối dịch bit 31 Phụ luc 3: Code chương trình quét led 32 Phụ lục 4: Code chương trình mơ thời gian thực 33 Danh sách hình vẽ Hình 2.1: Sơ đồ khối hệ thống đèn giao thông 10 Hình 2.2: Sơ đồ chân 7805 11 Hình 2.3: Sơ đồ nguyên lý khối nguồn 11 Hình 2.4: Atmega328 12 Hình 2.5: Bộ dao động thạch anh cấp tín hiệu dao động cho VĐK 13 Hình 2.6: Bộ reset nút vi điều khiển atmega328 14 Hình 2.7: Sơ đồ nguyên lý khối xử lý 15 Hình 2.8: Tín hiệu vào khối vi xử lý 15 Hình 2.9: Sơ đồ khối nút điều khiển 16 Hình 2.10: IC 74HC595 17 Hình 2.11: Sơ đồ nguyên lý IC74HC595 18 Hình 2.12: Sơ đồ chân led chữ số catot chung 19 Hình 2.13: Hiển thị số led chữ số 19 Hình 3.1: Sơ đồ chuyển trạng thái chương trình 21 Hình 4.1: Mơ sơ đồ ngun lý mạch proteus 8.6 24 Hình 4.2: Mạch thử nghiệm thực tế bo mạch trắng 24 Hình 4.3: Mạch in thiết kế altium 25 Hình 4.4: Hình ảnh 3d mạch 26 Hình 4.5: Bottom layer mạch đèn giao thông 26 Hình 4.6: Mạch hồn thiện 27 Danh sách bảng biểu Bảng 1.1: Bảng kế hoạch chung Bảng 1.2: Bảng nhân lực Bảng 1.3: Bảng phân chia công việc Bảng 2.1: Bảng mô tả chức chân Atmega 328 12 Bảng 2.2: Bảng mô tả chân IC 74HC595 17 Bảng 2.3: Bảng mơ tả tín hiệu vào/ra chân IC74HC595 19 Bảng 2.4: Bảng giải mã chân hiển thị led đoạn chữ số 20 Bảng 3.1: byte dịch khối dịch bit 22 Chương Mô tả kỹ thuật xác lập kế hoạch 1.1 Tổng quan xác định đề tài Đề tài chúng em phân công thiết kế xây dựng hệ thống đèn giao thơng ngã dạng mơ hình Chi tiết hệ thống đèn giao thông gồm tập hợp đèn (đỏ, xanh, vàng) với linh kiện số hiển thị thời gian đếm đèn Mơ hình xây dựng với chức số chức thêm trình bày phần 1.2 Mô tả kỹ thuật 1.2.1 Yêu cầu chức - Hiển thị đèn màu: Xanh – đỏ - vàng ứng với hướng ngã Ý nghĩa đèn sau: Xanh - phương tiện phép thẳng rẽ phải; Đỏ - phương tiện không giới hạn vạch kẻ đường ; Vàng – báo hiệu phương tiện chuẩn bị dừng lại đến tín hiệu đèn đỏ - Hiển thị thời gian trùng khớp với xuất màu Do tính chất giao thơng nước ta, thời gian đèn đỏ thường lớn tổng thời gian đèn xanh với đèn vàng cộng lại - Cho phép người dùng điều chỉnh thời gian hiển thị trực tiếp cho đèn vàng đèn xanh Người dùng tự cân đối điều chỉnh thời gian hiển thị đèn vàng đèn xanh cho đèn đỏ (thời gian hiển thị đèn đỏ tổng thời gian hiển thị đèn vàng đèn xanh) có thời gian hiển thị từ 00 đến 99 giây - Hệ thống reset tay tự reset nguồn Chế độ ban đầu khởi động sau reset cho phép người dùng cài đặt thời gian gốc bắt đầu (với phút) Ngồi thay đổi chương trình vi điều khiển qua thiết bị USB PL 2303 - Sau cài đặt thời gian bắt đầu hoạt động (thời gian gốc) Hệ thống lấy làm mốc quy chiếu để hoạt động theo chế độ: hoạt động điều khiển giao thông từ sáng đến 11 tối chuyển sang đèn vàng từ 11 tối đến sáng hôm sau - Ngắt trạng thái hoạt động điều khiển giao thông chuyển sang trạng thái đèn đỏ đèn xanh đèn vàng để phục vụ số mục đích định 1.2.2 Yêu cầu phi chức - Mạch sử dụng nguồn hoạt động công suất thấp tiêu tốn lượng, có khả hoạt động lâu dài - Sử dụng cặp led chữ số để thời gian đèn sáng ứng với màu, hiển thị rõ nét, dễ quan sát điều kiện ánh sáng ban ngày - Sử dụng vi xử lý thuộc họ điều khiển AVR lập trình ghép nối vi điều khiển với thiết bị ngoại vi - Hoạt động tốt nhiệt độ từ đến 60 độ C, phù hợp với điều kiện thời tiết nước ta - Mạch có kích thước nhỏ gọn, dễ dàng di chuyển, tối ưu mặt không gian xếp linh kiện - Giá thành để hoàn thiện: không 200.000 VNĐ 1.3 Xác lập kế hoạch 1.3.1 Xác lập công việc nhân lực Kế hoạch nhóm bắt đầu thơng báo giáo viên hướng dẫn, nhóm họp bàn phương hướng công việc, đánh giá lực cá nhân qua để lập danh sách bước cơng việc cần làm để có dược người đảm nhiệm tốt 1.3.2 Kế hoạch chung Bảng 1.1: Bảng kế hoạch chung Thời gian 27/04 – 02/05 02/05 – 04/05 04/05 – 08/05 08/05 – 15/05 15/05 – 22/05 22/05 – 24/05 24/05 – 30/05 Cơng việc thực Tìm hiểu chung đề tài phân tích yêu cầu kĩ thuật Lập kế hoạch Thiết kế sơ đồ khối nguyên lí Lập trình mơ mạch Mua linh kiện, test mạch bo trắng Làm mạch in Hàn mạch, hoàn thiện sản phẩm, viết báo cáo Bảng 1.1 lịch trình cơng việc cần thực suốt trình làm dự án 1.3.3 Bảng nhân lực Bảng nhân lực bảng thống lại tất thơng tin cá nhân nhóm Bảng nhân lực bao gồm: điểm mạnh, điểm yếu, kĩ năng, thời gian làm việc… thành viên nhóm Chính vậy, bảng nhân lực giúp phân công công việc cách hợp lý nhất, phù hợp với cá nhân nhóm Dưới Bảng 1.2 bảng nhân lực nhóm cho tập lớn Bảng 1.2: Bảng nhân lực MSSV Tên Điểm mạnh Điểm yếu 20162007 20161923 Đỗ Quốc Hưng Đào Duy Hùng báo cáo, hàn mạch coding, lắp mạch code hàn mạch 1.3.4 Phân chia công việc Ta tiến hành phân cơng thành viên nhóm vào cơng việc dựa theo tiêu chí: − Cơng việc phân chia cho thành viên nhóm − Các công việc giao cho thành viên phải phù hợp với ưu nhược điểm thời gian làm việc Bảng 1.3: Bảng phân chia công việc Công việc Tìm hiểu chung đề tài Phân tích u cầu kĩ thuật Lập kế hoạch Thiết kế sơ đồ nguyên lí Coding Mua linh kiện Lắp mạch test bo trắng Làm mạch in Hàn mạch Viết báo cáo Nhân lực Hùng, Hưng Hưng Hùng Hùng, Hưng Hùng, Hưng Hùng, Hưng Hùng, Hưng Hưng Hùng Hùng, Hưng Thời gian 27/04 – 02/05 02/05 – 04/05 04/05 – 08/05 08/05 – 15/05 15/05 – 22/05 22/05 – 24/05 24/05 – 30/05 02/05 – 04/05 04/05 – 08/05 08/05 – 15/05 Chương Thiết kế kiến trúc 2.1 Sơ đồ khối Từ ý tưởng xây dựng hệ thống, mô hình thiết kế sơ đồ khối Hình 2.1 Hình 2.1: Sơ đồ khối hệ thống đèn giao thơng Hình 2.1 mơ tả kết cấu hệ thống đèn giao thơng gồm khối chính: - Khối nguồn: chuyển đổi nguồn DC từ đến 18V thành nguồn DC 5V - Khối nút điều khiển: tạo tín hiệu điện áp khác đưa khối vi điều khiển xử lý - Khối vi điều khiển: sử dụng atmega328 xử lý tín hiệu tương tự từ khối nút điều khiển, xử lý đưa tín hiệu điều khiển khối dịch bit khối hiển thị - Khối dịch bit: sử dụng IC dịch bit 74HC595 nhân liệu liên tiếp từ khối vi điều khiển chuyển thành song song - Khối hiển thị: gồm đèn led ba loại màu led đoạn chữ số phục vụ hiển thị 10 đưa ngõ song song từ Q0 đến Q7, với điều kiện ~OE tích cực thấp Khi ~OE trạng thái cao chân Q trạng thái trở kháng cao Tín hiệu khối dịch bit mơ tả Bảng 2.3 Bảng 2.3: Bảng mơ tả tín hiệu vào/ra chân IC74HC595 Chân SHCP STCP DS Q1 – Q7 Tín hiệu loại Input Input Input Output Mơ tả Nhận tín hiệu xung từ chân digital Nhận tín hiệu xung từ chân digital Nhân liệu từ chân digital Đưa liệu song song ứng với giá trị từ a đến f 2.6 Khối hiển thị Hình 2.12: Sơ đồ chân led chữ số catot chung Led đoạn số gồm loại: anot chung catot chung Mạch nhóm sử dụng loại catot chung Nhìn Hình 2.12 từ trái sang phải chữ số hiển thị phụ thuộc vào chân D1, D2 Led trạng thái hoạt động chân D mức thấp (L) Khi chân D mức thấp số hiển thị chữ số giống (Hình 2.9) Hình 2.13: Hiển thị số led chữ số 19 Hiển thị chữ số từ đến chân A,B,C,D,E,F,G trạng thái Bảng 2.4 Bảng 2.4: Bảng giải mã chân hiển thị led đoạn chữ số A B C D E F G Hiển thị H H H H H H L L H H L L L L H H L H H L H H H H H L L H L H H L L H H H L H H L H H H L H H H H H H H H L L L L H H H H H H H H H H H L H H Trong Bảng 2.2 H mức cao L mức thấp Vì hiển thị chữ số đồng thời số nên nhóm dùng Atmega 328 để điều khiển led hiển thị chữ số khác theo mong muốn phương pháp quét led Phương pháp quét led: Ta thực bật tắt liên tục đèn D1, D2 để hiển thị số mong muốn Gọi thời gian để hiển thị số có chữ số led chu kì hiển thị Khi chu kì hiển thị gồm giai đoạn Giai đoạn 1: D2 tắt, chuyển liệu hiển thị cho chữ số thứ vào, bật D1 Giai đoạn 2: D1 tắt, chuyển liệu cho chữ số thứ hai vào, bật D2 Như chu kì hiển thị đèn thị đầy đủ chữ số đưa vào Nếu tần số thỉ lớn 24Hz mắt không cảm nhận gián đoạn led, ta có hiển thị đầy đủ mong muốn Các chân digital 3, vi điều khiển thực việc bật tắt D1, D2 Còn chân led nhận tín hiệu từ đầu song song dịch bit từ Q1 đến Q7 Ngồi khối hiển thị cặp led: xanh, đỏ, vàng Các led điều khiển chân digital đến 13 Thời gian hiển thị phụ thuộc vào thời gian setup cho đèn vàng xanh 20 Chương Cấu trúc chương trình vi điều khiển 3.1 Sơ đồ trạng thái Sơ đồ chuyển trạng thái chương trình vi điều khiển thể Hình 3.1 Hình 3.1: Sơ đồ chuyển trạng thái chương trình Nhìn vào Hình 3.1 ta thấy có trạng thái coi chương trình chương trình Trạng thái C1 trạng thái sau reset, chương trình set thời gian bắt đầu cho hệ thống Khi nút thứ ấn nhả ra, ta coi B1 = biểu thị cho kiện B1 = nghĩa khơng có chuyển đổi trạng thái từ nhấn sang nhả Khi B1 = 1, chương trình chuyển sang trạng thái C2 ứng với chương trình set thời gian hiển thị cho đèn vàng đèn xanh C3 trạng thái ứng với chương trình hoạt động bình thường đèn giao thông (hoạt động từ đến 23 ngày, từ 23 đến ngày hôm sau bật đèn vàng) C4 trạng thái hiển thị đèn đỏ, vàng, xanh theo ý muốn Trạng thái C1 có ấn nút reset hay có nghĩa ta set thời gian bắt đầu cho hệ thống hệ thống khởi động lại Trong trạng thái C1, C2, C4 ta sử dụng nút thứ để chuyển đổi theo mong muốn Cụ thể trạng thái C1 set thời gian phút, nút dùng để chuyển đổi việc set phút bắt đầ Ở C2 set thời gian cho đèn vàng xanh, nút chuyển 21 đổi lựa chọn việc set thời gian cho vàng cho xanh Còn trạng thái thứ 4, nút thứ lựa chọn việc thị loại đèn loại: đỏ, xanh, vàng 3.2 Chương trình xử lý tín hiệu từ khối nút điều khiển Hàm analogRead(): trả giá trị nguyên khoảng từ đến 1023 tùy thuộc điện áp tham chiếu từ đến 5V Đề tài này, nhóm sử dụng điện áp tham chiếu 5V Phần 2.3 trình bày cách tính tốn từ tín hiệu tương tự sang giá trị số Từ giá trị điện trở lựa chọn, ta tính giá trị số tương ứng với việc nhấn nút từ đến là: 931, 839, 788, 737 Vì giá trị thực tế khơng ổn định có sai số nên xử lý code ta để giá trị xác định việc ấn nút chạy khoảng chênh lệch ±10 Ví dụ 931 cho 920 < analogRead() < 940 Phần code xử lí tín hiệu nhận biết việc ấn nút để Phụ lục 3.3 Chương trình truyền liệu khối dịch bit Hàm shiftOut(): có nhiệm vụ chuyển byte bit Bit chuyển bit nằm bên trái bit nằm bên phải Các bit xuất chân dataPin (lựa chọn digital 5) sau chân clockPin kéo xuống (từ High xuống Low) kéo lên (từ Low lên High) Các byte dịch ngồi chương trình phù hợp với việc hiển thị số led chữ số từ đến Các byte dịch khối chuyển đổi nối tiếp song song thể Bảng 3.1 Bảng 3.1: byte dịch khối dịch bit 0gfedcba Số tương ứng 0gfedcba Số tương ứng 00111111 01101101 00000110 01111101 01011011 00000111 01001111 01111111 01100110 01101111 22 Phần code chương trình dịch bit Phụ lục 3.4 Chương trình quét led Theo nguyên lý quét led trình bày Phần 2.6, code chương trình quét led Phụ lục 3.5 Chương trình mơ thời gian thực Một chức quan trọng hệ thống đèn giao thông với thời gian setup ban đầu khởi động (reset), hệ thống thực việc bật tắt đèn (từ vàng sang điều khiển giao thông) theo khoảng thời gian thực tế Để thực khả này, hàm millis() sử dụng Hàm millis(): có nhiệm vụ số thuộc kiểu unsigned long (32 bit) thời gian tính theo mili giây kể từ lúc mạch Arduino bắt đầu chương trình Nó tràn số sau vượt giá trị 232-1 tương đương với 50 ngày Tuy nhiên ta dùng phương pháp ép kiểu unsigned long để xử lý vấn đề Phần code sử dụng millis để tính tốn thời gian thực để Phụ lục 23 Chương Thử nghiệm mô 4.1 Mô proteus Sau thiết kế sơ đồ khối mạch nguyên lí hồn chỉnh, nhóm mơ proteus Hình 4.1 để kiểm tra lại tính xác có thay đổi phù hợp với thực tế Hình 4.1: Mơ sơ đồ ngun lý mạch proteus 8.6 4.2 Thử nghiệm bo trắng Hình 4.2: Mạch thử nghiệm thực tế bo mạch trắng 24 Hình 4.2 hình ảnh mạch sau lắp đặt thử nghiệm thành công thực tế, sau hiệu chỉnh số thành phần phù hợp yêu cầu đầu 4.3 Vẽ mạch nguyên lí PCB Altium Designer Dựa sơ đồ nguyên lí xây dựng, nhóm chúng em tiến hành vẽ lại mạch nguyên lí mạch in PCB phần mềm Altium Designer với tiêu chí sau: • Mạch in lớp, hạn chế sử dụng dây câu • Kích thước mạch 7x6 𝑐𝑚2 • Chiều rộng dây lớn 1mm Dưới Hình 4.3 Hình 4.4 hình ảnh 3D mạch in mạch Hình 4.3: Mạch in thiết kế altium 25 Hình 4.4: Hình ảnh 3d mạch 4.4 In mạch hoàn thiện sản phẩm Dưới ảnh bottom layer mạch (Hình 4.5) mạch hồn thiện (Hình 4.6) Hình 4.5: Bottom layer mạch đèn giao thơng 26 Hình 4.6: Mạch hoàn thiện 4.5 Nhận xét 4.5.1 Ưu điểm Sau hồn thiện sản phẩm mạch đèn giao thơng, nhóm nhận thấy ưu điểm mạch sau: - Đáp ứng đầy đủ yêu cầu chức phi chức đề chương - Mạch chạy hiển thị xác, rõ ràng, hiệu liên tục thời gian dài nhiều điều kiện môi trường thời tiết khác (không tính trời mưa) - Mạch có kích thước nhỏ gọn, đảm bảo yếu tố mặt thẩm mỹ, mối hàn chắn xác - Giá thành rẻ, có khả ứng dụng vào thực tiễn 4.5.2 Nhược điểm Song hành với ưu điểm kể sản phẩm số hạn chế: - Chưa có đóng hộp theo yêu cầu đề tài - Các thay đổi chức mạch hoạt động cần phải điều khiển tay, dẫn đến thời gian thao tác - Các phím bấm học chưa thật nhậy, cần bấm tốc độ bình thường 27 Kết luận Sau hồn thiện sản phẩm, chúng em tiếp thu bồi dưỡng cho thêm nhiều kinh nghiệm cơng việc thiết kế xây dựng mạch điện tử sử dụng kĩ thuật vi điều khiển vi xử lý Các thành viên nhóm cố gắng tích lũy, tìm hiểu training cho kĩ mà người mạnh, người yếu, cơng việc q trình học người nỗ lực hồn thành Chúng em xin chân thành cảm ơn nhà trường tạo điều kiện cho chúng em có hội thực hành tiếp xúc với nội dung môn học Kĩ thuật vi xử lý, cảm ơn thầy Nguyễn Hoàng Dũng tận tình hướng dẫn, giải đáp thắc mắc, góp ý bảo nhóm suốt thời gian chúng em hoàn thành tập lớn Mặc dù cố gắng hoàn thành đề tài tốt thời gian eo hẹp kiến thức, kĩ hạn chế nên sản phẩm chúng em không tránh khỏi hạn chế, thiếu sót định, mong nhận cảm thông, chia sẻ tận tình đóng góp q thầy bạn Chúng em xin chân thành cảm ơn! 28 Tài liệu tham khảo Datasheet ATMEGA 328P, http://www.datasheetspdf.com/datasheet/search.php?sWord=pic16f877a http://mualinhkien.vn/ https://electrosome.com/adc-pic-microcontroller-hi-tech-c/ http://xcvn.blogspot.vn/ http://arduino.vn/reference/millis http://arduino.vn/bai-viet/214-cach-lap-trinh-nhieu-con-led-7-doan-loai-don 29 Phụ lục Phụ lục 1: Code chương trình xử lý tín hiệu khối nút điều khiển /*BUTTON - BUTTON1 - BUTTON2 - BUTTON3 - BUTTON4 */ analogRead(A0) analogRead(A0) analogRead(A0) analogRead(A0) >= >= >= >= 925 830 780 725 && && && && analogRead(A0) analogRead(A0) analogRead(A0) analogRead(A0)

Ngày đăng: 07/06/2019, 17:47

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan