Thiết kế ô tô điều khiển từ xa và tự động tránh vật cản

24 342 0
Thiết kế ô tô điều khiển từ xa và tự động tránh vật cản

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

d BÁO CÁO Đề tài: “Thiết kế ô điều khiển từ xa tự động tránh vật c ản ” MỤC LỤC MỤC LỤC i DANH MỤC BẢNG HÌNH VẼ ii LỜI MỞ ĐẦU ĐỀ TÀI ĐỊNH HƯỚNG ĐỀ TÀI CHƯƠNG I: CƠ SỞ LÝ THUYẾT 1.1 Kit Xilinx Coolrunner-II 1.1.1 Tổng quan 1.1.2 Cấu hình 1.1.3 Các thiết bị điện board 1.1.4 Đồng hồ 1.1.5 Các thiết bị I/O 1.1.6 Cổng kết nối mở rộng 1.1.7 Thiết kế board 1.2 Điều khiển vô tuyến 1.2.1 Tổng quan sóng điện từ 1.2.2 IC tạo mã giải mã PT2262, PT2272 1.2.3 Modul thu phát RF31-4CH 1.3 Cảm biến siêu âm SRF05 .11 1.4 Mạch cầu H 13 1.4.1 Khái niệm mạch cầu H 13 1.4.2 IC cầu H L293D 15 CHƯƠNG II: QUÁ TRÌNH THIẾT KẾ 17 2.1 Sơ đồ khối hệ thống 17 2.2 Thiết kế phần cứng 18 2.2.1 Thiết kế phần khung xe 18 2.2.2 Thiết kế chuyển đổi điện áp 3.3V 18 2.3 Thiết kế phần mềm 19 Kết luận 21 Kết đạt .21 Những tồn hướng phát triển đề tài .21 Báo cáo đồ án mơn học Danh mục bảng hình vẽ DANH MỤC BẢNG HÌNH VẼ Hình 1.1: KIT Cool Runner II Hình 1.2: Sơ đồ khối chân IO KIT Coolrunner II Hình 1.3: Sơ đồ kết nối CRII với Led .8 Bảng 1.1: Bảng mã quét board CRII .9 Hình 1.4: Dạng sóng điện từ không gian Hình 1.5: Sơ đồ khối thu phát RF 10 Hình 1.6: Sơ đồ chân IC thu phát RF PT2262/2272 10 Hình 1.7: Sơ đồ mã hóa bên phát 11 Hình 1.8: Sơ đồ khối khối chức IC PT2272 12 Hình 1.9: Modul phát RF .12 Hình 1.10: Modul thi RF 13 Bảng 1.11: Chức chân modul thu RF .13 Hình 1.12: Cảm biến siêu âm SRF05 14 Hình 1.13: Dạng xung chân SRF05 mode .15 Hình 1.14: Dạng xung SRF05 mode 15 Hình 1.16: Điều khiển mạch cầu H 17 Hình 1.17: IC cầu H L293D 18 Hình 2.1: Sơ đồ khối hệ thống 20 Hình 2.2: Thiết kế phần cứng .21 Hình 2.3: Mạch phân áp 3.3V .22 Hình 2.4: Sơ đồ kết nối toàn hệ thống 22 Hình 2.5: Lưu đồ thuật toán hệ thống 23 Báo cáo đồ án môn học Lời mở đầu LỜI MỞ ĐẦU Ngày nay, khoa học kỹ thuật phát triển, đặc biệt lĩnh vực tự động hóa Robot đời ngày ứng dụng thực tế, nhà máy làm việc môi trường khắc nghiệt Hiện nay, robot ngày quan trọng thiếu sống người Với chủ để robot khuân khổ môn “ Đồ án thiết kế hệ thống số” , nhóm đề xuất thực ý tưởng thiết kế với chức sau: - Chức 1: Điều khiển từ xa thơng qua sóng RF, chế độ điều khiển có trạng thái thẳng, lùi, rẽ trái rẽ phải - Chức 2: chế độ tự động, xe tự động di chuyển địa hình tự động tránh va chạm với vật cản phía trước Ứng dụng thực tế đề tài dùng điều khiển từ xa để điều khiển xe đến chỗ khuất mà người chui vào được, sau ấn định chế độ dò đường tự động, xe gắn thêm modul mở rộng cảm biến để truyền liệu máy tính Để thực đề tài, nhóm thảo luận tiến hành phần sau: - Chương I: Cơ sở lý thuyết - Chương II:Quá trình thiết kế - Chương III: Đánh giá kết Do lần đầu nghiên cứu robot nên q trình thực gặp nhiều khó khăn, nhiều lỗi chưa khắc phục Mạch chế tạo đơn giản, chưa tích hợp nhiều chức năng, mong góp ý thầy hướng dẫn bạn Nhóm sinh viên thực Nhóm TRẦN VĂN HIỆU BÙI VĂN HÙNG NGUYỄN THẾ THẮNG NGUYỄN MẠNH TIẾN Báo cáo đồ án môn học Đề tài định hướng thực ĐỀ TÀI ĐỊNH HƯỚNG ĐỀ TÀI Trong khuân khổ môn “Đồ án thiết kế hệ thống số” với đề “Thiết kế ô điều khiển từ xa tự động tránh vật cản”, nhóm đưa định hướng thực sau:  Sử dụng module thu phát không dây sóng RF để điều khiển từ xa  Sử dụng kit CoolRunner II để xử lý, điều khiển ô  Sử dụng cảm biến siêu âm SRF05 để đo khoảng cách, xác định có vật cản phía trước, từ xử lý để khơng va chạm với vật cản  Sử dụng cảm biến SRF05 để xác định hướng cho ô trường hợp ô gặp vật cản Để thực tập định hướng đặt ra, u cầu thành viên nhóm phải tìm hiểu nắm sở lý thuyết thành phần liên quan, hiểu rõ kit xử lý CoolRunner II để lập trình giao tiếp CoolRunner module nhỏ khác có hệ thống Mục đích mà nhóm đặt cho để tài là:  Thiết kế hệ thống số thực số đơn giản tảng kit xử lý CoolRuner II, ứng dụng kiến thức lập trình VHDL học mơn Thiết kế logic số để lập trình thực tế kit  Thiết kế hệ thống ô ứng dụng kit số thực số chức đơn giản, định hướng đặt Sau xác định định hướng mục đích thực đề tài, phần sau, báo cáo vào phân tích cụ thể mảng kiến thức, sở lý thuyết cần thiết phục vụ cho việc hoàn thiện đề tài Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết CHƯƠNG I: CƠ SỞ LÝ THUYẾT 1.1 Kit Xilinx Coolrunner-II 1.1.1 Tổng quan Giới thiệu CPLD: CPLD phát triển tiếp PLD PLA, PAL với phần tử Macrocell, bao gồm nhiều khối tương tự PAL( chứa từ 2-100 khối khối 16 macrocell) Mỗi macrocell có 20 cổng logic Board coolrunner II phát triển từ tảng hoàn chỉnh mạch USB-powered cho Coolrunner II CPLD Xilinx Board Coolrunner II bao gồm linh kiện sử dụng lượng hiệu cao, dao động lập trình sẵn, nhiều chuẩn I/O khối I/O, kết nối với nguồn cổng USB2 Board bao gồm năm đầu nối mở rộng tạo thành 64 tín hiệu CPLD có sẵn kết nối ngoại vi mạch Hình 1.1: KIT Cool Runner II Tính bao gồm: - Board Coolrunner II CPLD 256 macrocell gói TQ-144( kích cỡ 20x20mm, loại leaded) - Một cổng USB2 gắn mạch cho nguồn ni, trình JTAG chuyển đổi liệu Báo cáo đồ án môn học - Chương I: Cơ sở lý thuyết Một dao động tần số cố định 8MHz cắm cho dao động thạch anh - Bộ kết nối mở rộng cho 64 tín hiệu I/O (32 kết nối Pmod 32 kết nối kiểu parallel) - Một dây DS28E01Q EEPROM Hình 1.2: Sơ đồ khối chân IO KIT Coolrunner II 1.1.2 Cấu hình CPLD board Coolrunner II phải cấu hình( hay lập trình) người dùng trước thực chức Các tập tin tạo từ sơ đồ nguyên lý tập tin mã nguồn HDL cách sử dụng phần mềm ISE WebPack miễn phí Xilinx Những file cấu hình chuyển giao cho board Coolrunner II dây cáp USB phần mềm trung gian Xilinx sử dụng dây cáp kết nối lập trình bên ngồi Sau cấu hình, CPLD giữ trạng thái vơ thời hạn Khi board Coolrunner II hỗ trợ, cấu hình CPLD nạp Một cấu hình nạp lúc nào, ngau sau cấu hình nạp, xác định hành vi CPLD 1.1.3 Các thiết bị điện board Board Coolrunner II cấp nguồn từ cổng USB tích hợp nguồn cung cấp bên ngồi gắn vào đầu nối JP3 Jump JP2 chọn board sử dụng nguồn USB nguồn lượng bên Nguồn bên từ nguồn định tuyến thông qua điều chế Maxim LT3028 để cung cấp nguồn điện áp (3,3V với thiết bị I/O 1,8V với vùng lõi board) theo yêu cầu CPLD Khi nguồn board cung cấp, Led báo hiệu cấp nguồn phát sáng Để sử dụng nguồn điện bên ngoài, thiết lập Jump JP2 để BAT cung cấp điện cho chân JP3 khoảng 3,6- 9V Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết Board Coolrunnner II sử dụng từ mạch in lớp với lớp bên dành riêng để dây nguồn Vcc nối đất GND Với cấu hình Maxim nguồn nuôi tốt tụ điện tất IC tạo lượng tiêu hao thấp giúp tiết kiệm đáng kể lượng, đồng thời giúp cho việc thiết kế đơn giản 1.1.4 Đồng hồ Board Coolrunner II bao gồm dao động tần số cố định cung cấp tín hiệu đồng hồ 8MHz Đầu dao động đặt nhãn PCLK sơ đồ mạch in, kết nối tới chân GCLK2 CPLD (tại P38) để chuyển đến chia đồng hồ nội Các đường kết nối cho nửa kích thước tiêu chuẩn dao động DIP cấp IC3 1.1.5 Các thiết bị I/O Board Coolrunner II cung cấp hai nút bấm hai công tắc trượt cho đầu vào hình Led số cho đầu Các nút bấm hoạt động mức thấp chuyển mạch bao gồm điện trở để bảo vệ bị ngắn mạch Các đèn Led hoạt động mức cao hình hiển thị Led đoạn anode chung sử dụng transistor riêng biệt hoạt động tín hiệu cực cathode mức tích cực thấp Ba đèn Led bổ sung hoạt động báo hiệu nguồn cấp cho USB hoạt động tốt (LD4), bảng nguồn tốt (LD5) tình trạng thái liên kết USB tốt (LD6) Hình 1.3: Sơ đồ kết nối CRII với Led 1.1.6 Cổng kết nối mở rộng Board Cool runner II cung cấp khối 12 chân kết nối modul ngoại vi, kết nối cung cấp kết nối VDD GND tín hiệu CPLD riêng biệt Mỗi kết nối phục vụ Pmod 12 chân đơn Pmod chân Digilent làm cho số Pmod chân gắn vào kết nối chúng, bao gồm bảng mạch loa, mạch cầu H, bảng mạch cảm biến, vv Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết Cơ chế mở rộng linh hoạt cho phép nhà thiết kế dễ dàng thêm chức chuyển đổi analog-to-kỹ thuật số, giao diện động servo, đèn flash nối tiếp, kênh nối tiếp chuẩn RS232, 20 mô-đun ngoại vi khác, vv Board Coolrunner II cung cấp kết nối mở rộng 40 chân bao gồm tín hiệu nguồn cung cấp 37 tín hiệu vào I/ O 1.1.7 Thiết kế board Thiết kế lập trình sẵn vào CPLD có số chức dễ dàng nhìn thấy module ngoại vi thích hợp được đưa tới cổng có liên quan Trong thiết kế board Cool runner II, chuyển mạch Pmod vào cổng J8, Pmod PS2 vào cổng J7, Pmod hiển thị Led đoạn vào cổng J5 J6 Tuy nhiên, việc thiết kế thay đổi sử dụng cổng khác CPLD thực tốt đếm giải mã bàn phím PS2 Các cơng tắc hình hiển thị dựa giá trị SW4 SW1,2,3 không sử dụng thiết kế BTN0 thiết lập lại hệ thống cho việc thiết kế Khi SW4 có giải mã PS2 lựa chọn, đầu hình mã qt cho tín hiệu đầu vào Dưới số mã quét, phần lại tìm thấy Internet Bảng 1.1: Bảng mã quét board CRII Keyboard Key Scan Code F1 05 F2 06 F3 04 F4 0C ều khiển vô tuyến Keyboard Key F5 F6 F7 F8 Scan Code 03 0B 83 0A 1.2 Đi 1.2.1 Tổng quan sóng điện từ Sóng điện từ kết hợp dao động điện trường từ trường vng góc với nhau, lan truyền khơng gian Hình 1.4: Dạng sóng điện từ khơng gian Để tạo sóng điện từ, người ta dùng mạch dao động cộng hưởng LC, kết nối cuộn dây tụ điện, mạch LC bị kích thích, cuộn dây xuất từ trường tụ điện xuất điện trường, vào trạng thái cộng hưởng, từ trường cuộn dây L điện trường tụ C kết hợp tạo d ạng sóng điện Báo cáo đồ án mơn học Chương I: Cơ sở lý thuyết từ trường Bây cần dùng dây anten cho sóng mạch LC phát vào khơng gian, có tia sóng dùng cho cơng việc điều khiển vơ tuyến Sơ đồ khối thu phát RF: Hình 1.5: Sơ đồ khối thu phát RF Bên phát: dùng mạch cộng hưởng LC tạo sóng mang có tần số ổn định dùng làm sóng mang Dùng mạch tạo tín hiệu mã lệnh cho mã lệnh điều chế vào sóng mang cho phát vào khơng gian Bên thu: dùng mạch cộng hưởng LC làm bẩy sóng để bắt thu sóng điện từ có khơng gian, phát từ bên phát, cho giải mã để lấy tín hiệu mã lệnh có sóng mang, dùng tín hiệu mã lệnh để đóng mở thiết bị 1.2.2 IC tạo mã giải mã PT2262, PT2272  IC tạo mã lệnh PT 2262 Sơ đồ chân: Hình 1.6: Sơ đồ chân IC thu phát RF PT2262/2272 - Chân OSC1 OSC2 dùng gắn điện trở R để định tần cho xung nhịp, dùng tạo dãy xung mã lệnh Tần số xung nhịp phải lấy tương thích bên phát bên nhận - Các chân A0 - A5 dùng nhập mã địa chỉ, chân có trạng thái, cho nối masse bit 0, cho nối vào nguồn dương bit bỏ trống bit F Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết - Chân A6/D0 - A11/D5 dùng chân địa từ A6 đến A11, dùng chân nhập liệu Data xác lập theo mức mức 1, có trạng thái - Chân TE dùng cho xuất nhóm xung mã lệnh, có tác dụng mức áp thấp Nghĩa chân mức áp thấp, cho xuất xung mã lệnh chân Dout - Chân Dout, chân ngã nhóm tín hiệu mã lệnh, tín hiệu mã lệnh dạng xung, nghĩa lúc mức áp thấp, lúc mức áp cao IC PT2262 mạch phát tín hiệu mã lệnh với kênh điều khiển: Hình 1.7: Sơ đồ mã hóa bên phát - Các điện trở 10k dùng đặt chân 10, 11, 12, 13 tương ứng với D0, D1, D2, D3 mức thấp - Dùng điện trở 2.7k để cấp mức áp cao cho chân D0-D3, qua nút nhấn SW0-SW3 - Dùng diode 1N4148 để cách ly nguồn với chân 10, 11, 12, 13 - Điện trở 4.7M dùng để xác định tần số xung nhịp - Chân 14 chân TE nối với GND nên cho phép xuất mã xung IC giải mã PT 2272 Khi xung mã lệnh phát từ IC PT2262, nhóm xung mã lệnh đưa vào IC PT2272 để giải mã phát tín hiệu để điều khiển thiết bị Sơ đồ khối bên IC PT 2272 sau:  Báo cáo đồ án mơn học Chương I: Cơ sở lý thuyết Hình 1.8: Sơ đồ khối khối chức IC PT2272 - Chân OSC1 OSC2 dùng gắn điện trở để định tần cho xung nhịp, xung nhịp cần thiết cho hoạt động ic - Các chân địa A0 đến A5 chân địa chỉ/dữ liệu A6/D5 đến A11/D0, trạng thái bit chân dùng xác lập mã lệnh dùng cho việc dò mã lệnh bên phát - Chân đầu vào DIN, sau qua tầng khuếch đại đảo, tín hiệu mã lệnh cho vào mạch computer logic để dò mã lệnh, mã lệnh bên phát với mã lệnh xác lập ic, cho qua mạch Output Logic chờ xuất ra, mạch dò xung đồng Synchro Detect xác nhận tín hiệu vào xác, cho xuất lệnh điều khiển chân VT Chỉ mã lệnh bên phát bên thu đặt giống tần số xung nhịp phù hợp, lúc cặp IC "hiểu nhau", có tác dụng dùng điều khiển, có khác bên thu khơng nhận bên phát không phát lệnh điều khiển VT theo lệnh bên phát 1.2.3 Modul thu phát RF31-4CH a Modul phát RF Hình 1.9: Modul phát RF Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết Hình loại modul phát RF, IC phát sử dụng IC PT2262 Modul chế tạo với nút bấm A, B, C, D - Khi nút bấm cho phát tín hiệu mã hóa nút bấm bên thu so sánh nhận tín hiệu - Đặc điểm: + Tần số hoạt động: 315Mhz + Kiểu điều chế : ASK + Antenna nội + Khoảng cách truyền < 20m (không vật cản) + Nguồn cung cấp: pin 12V 27A b Modul thu RF Hình 1.10: Modul thi RF Đặc điểm: - Điện áp làm việc: 5V DC - Kiểu điều chế: ASK - Dòng tiêu thụ: 4mA - Tần số hoạt động: 315Mhz - Độ nhạy: -103 dBm, băng thông: 3dB +/-100Khz Chức chân: PIN Tên Định nghĩa GND Đất (nối tới GND) VCC Chân cấp nguồn (nối tới VCC+) DATA #1 Dữ liệu ngõ (nối với chân 10 IC 2272) DATA #2 Dữ liệu ngõ (nối với chân 11 IC 2272) DATA #3 Dữ liệu ngõ (nối với chân 12 IC 2272) DATA #4 Dữ liệu ngõ (nối với chân 13 IC 2272) TEST Dùng cho mục đích kiểm tra (nối với chân 17 IC 2272) Bảng 1.11: Chức chân modul thu RF Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết Chú ý: Khoảng cách thu đo LOS (line of sight) với can thiệp radio nhỏ Khoảng cách thực tế khác nhiều tùy thuộc vào việc cài đặt môi trường hoạt động Đổi tần module thay đổi khoảng cách, phụ thuộc vào antenna thu khác Antenna thu bên ngồi cần dùng để tối ưu hiệu suất hoạt động 1.3 Cảm biến siêu âm SRF05 Cảm biến SRF05 loại cảm biến khoảng cách dựa nguyên lý thu phát siêu âm Cảm biến gồm phát thu sóng siêu âm Sóng siêu âm từ đầu phát truyền khơng khí, gặp vật cản (vật cần đo khoảng cách tới) phản xạ ngược trở lại đầu thu ghi lại Vận tốc truyền âm khơng khí giá trị xác định trước, thay đổi Do xác định khoảng thời gian từ lúc phát sóng siêu âm tới lúc phản xạ đầu thu quy đổi khoảng cách từ cảm biến tới vật thể Cảm biến SRF05 cho khoảng cách đo tối đa lên tới 3-4 mét Hình 1.12: Cảm biến siêu âm SRF05 SRF05 thiết lập cách hoạt động thơng qua chân điều khiển MODE(OUT) Nối không nối chân MODE xuống GND cho phép cảm biến điều khiển thông qua giao tiếp dùng chân hay chân IO  Cách 1: Tách riêng chân TRIGGER ECHO (thường sử dụng) Module cảm biến SRF05 có hai chân TRIGGER ECHO riêng biệt Khi chân MODE để trống (chân MODE có điện trở kéo lên VCC, để trống nhận mức điện áp VCC) SRF05 sử dụng chân chức TRIGGER ECHO cho việc điều khiển hoạt động cảm biến Có thể mơ tả hoạt động cảm biến giản đồ dạng xung hình dưới: Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết Hình 1.13: Dạng xung chân SRF05 mode Từ hình vẽ mơ tả ta thấy: để điều khiển SRF05 cách (sử dụng chân trigger echo) cần cấp cho chân TRIGGER xung điều khiển với độ rộng tối thiểu 10uS Sau khoảng thời gian, đầu phát sóng siêu âm cảm biến phát sóng chu kỳ sóng siêu âm tần số 40Khz, vi xử lý tích hợp modun tự xác định thời điểm phát sóng siêu âm thu sóng siêu âm Vi xử lý tích hợp đưa kết thu chân ECHO Độ rộng xung vuông chân ECHO tỉ lệ với khoảng cách từ cảm biến tới vật thể  Cách 2: Sử dụng chân cho TRIGGER ECHO chế độ này, chân vi xử lý điều khiển trình phát xung cảm biến siêu âm việc đọc tín hiệu trả Yêu cầu lúc chân MODE cần nối đất (GND) Đầu tiên xuất xung với độ rộng tối thiểu 10uS vào chân TRIGGER-ECHO (chân số 3) cảm biến Sau vi xử lý tích hợp cảm biến phát tín hiệu điều khiển đầu phát siêu âm Sau 700uS kể từ lúc kết thúc tín hiệu điều khiển, từ chân TRIGGER-ECHO đọc xung mà độ rộng tỉ lệ với khoảng cách từ cảm biến tới vật thể Có thể mơ tả hoạt động cảm biến chế độ hình Hình 1.14: Dạng xung SRF05 mode Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết  Tính tốn khoảng cách Từ giản đồ xung mô tả hoạt động SRF05 chế độ ta thấy, cần cung cấp vào chân Trigger xung có độ rộng tối thiểu 10uS để kích hoạt đầu phát SRF05 phát chu kỳ sóng siêu âm (tần số 40KHz) set chân Echo lên Sau cảm biến chờ sóng phản hồi, đầu thu cảm biến phát có sóng siêu âm phản hồi vi xử lý cảm biến đưa chân Echo xuống mức Độ rộng xung vuông chân Echo tỷ lệ với khoảng cách tới đối tượng, cách đo độ rộng xung này, ta hoàn tồn xác định khoảng cách tới đối tượng Nếu khơng có sóng phản hồi đầu thu cảm biến sau khoảng 30mS SRF05 giảm thấp mức chân Echo xuống thấp so với ban đầu Ta có cơng thức liên hệ khoảng cách, vận tốc thời gian là: S=v.t Như ta biết, sóng siêu âm lan truyền khơng khí với tốc độ khoảng 343m/s sóng siêu âm phát từ cảm biến tới vật sau phản hồi cảm biến nên quãng đường di chuyển sóng siêu âm lần khoảng cách tới vật Nếu độ rộng xung chân Echo đo là: t (uS) , ta có cơng thức tính khoảng cách tính là: Khoảng cách = 343*100* t / (2*10^6) = t / 58 (cm) SRF05 kích hoạt nhanh chóng sau 50mS (20 lần/s) Bạn nên chờ 50ms cho lần kích hoạt để tránh sai số phản hồi 1.4 Mạch cầu H 1.4.1 Khái niệm mạch cầu H Trong thực tế ta có động DC có đầu A B, nối đầu dây với nguồn điện DC (ắc qui điện – battery), hệ tất yếu nối A với cực (+), B với cực (-) mà động chạy theo chiều thuận (kim đồng hồ) đảo cực đấu dây (A với (-), B với (+)) động đảo chiều quay Đây cách “thủ công” để đảo chiều động cơ, với tốn thực tế, có lúc gặp toán bắt buộc sử dụng đến việc đảo chiều động với tốc độ cao, thời gian độ thấp độ xác cao Lẽ dĩ nhiên cần có mạch điện có khả tự động thực việc đảo chiều xuất phát từ yêu cầu đó, mạch cầu H đời Vậy mạch cầu H mạch điện giúp đảo chiều dòng điện qua đối tượng Tuy nhiên, chức mạch cầu H không dừng lại Phần sau nói rõ chức mạch cầu H Tiếp tục với vấn đề mạch cầu H, gọi mạch cầu H đơn giản hình dạng chúng Hình vẽ sau mơ tả cấu trúc mạch cầu H đơn giản: Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết Hình 1.15: Mơ hình mạch cầu H đơn giản Trong hình 3, xem đầu V GND đầu (+) (-) ắc qui, “đối tượng” động DC mà cần điều khiển, “đối tượng” có đầu A B, mục đích điều khiển cho phép dòng điện qua “đối tượng” theo chiều A đến B B đến A Thành phần tạo nên mạch cầu H “khóa” L1, L2, R1 R2 (L: Left, R:Right) điều kiện bình thường khóa “mở”, mạch cầu H không hoạt động Tiếp theo khảo sát hoạt động mạch cầu H thơng qua hình minh họa sau: Hình 1.16: Điều khiển mạch cầu H Với mục đích cho dòng điện qua “đối tượng” đảo chiều ( A đến B B đến A), ta thấy khóa L1 R2 “đóng lại” (L2 R1 mở), trường hợp có dòng điện chạy từ V qua khóa L1 đến đầu A xuyên qua đối tượng đến đầu B trước qua khóa R2 GND (như hình 2a) Như thế, trường hợp có dòng điện chạy qua đối tượng theo chiều từ A đến B Bây giả sử khác R1 L2 đóng L1 R2 mở, dòng điện lại xuất lần chạy qua đối tượng theo chiều từ B đến A hình 2b (V->R1->B->A->L2->GND) Như vậy, cách đóng mở khố L1, R1, L2, R2 cặp một, dùng mạch cầu H để đảo chiều dòng điện qua “đối tượng” (hay cụ thể, đảo chiều quay động cơ) Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết Một trường hợp thứ xảy đóng đồng thời khóa bên (L1 L2 R1 R2) chí đóng khóa, có tượng “ngắn mạch”, V GND gần nối trực tiếp với dẫn đến ắc qui bị hỏng nguy hiểm cháy nổ mạch xảy Đây trường hợp khơng mong muốn q trình sử dụng mạch cầu H Để tránh việc xảy ra, người ta thường dùng thêm mạch logic để kích cầu H Trường hợp cuối trường hợp khóa phần phần đóng (ví dụ L1 R1 đóng, L2 R2 mở) Với trường hợp này, đầu A, B “đối tượng” nối với mức điện áp khơng có dòng điện chạy qua, mạch cầu H khơng hoạt động Đây coi cách “thắng” động (tuy nhiên lúc có tác dụng) Nói chung, trường hợp nên tránh, muốn mạch cầu không hoạt động nên mở tất khóa thay dùng trường hợp Trên cấu làm việc mơ hình mạch cầu H, thực tế mạch cầu H có thiết kế phức tạp nhiều với cấu kích cầu bảo vệ Như trình bày phần trước, thành phần mạch cầu H “khóa”, việc chọn linh kiện để làm khóa phụ thuộc vào mục đích sử dụng mạch cầu, loại đối tượng cần điều khiển, công suất tiêu thụ đối tượng hiểu biết, điều kiện người thiết kế Nhìn chung, khóa mạch cầu H thường chế tạo Relay, BJT (Bipolar Junction Transistor) hay MOSFET (Metal Oxide Semiconductor Field-Effect Transistor) Từ đó, loại mạch cầu H phân loại dựa phương thức cấu tạo chúng Trong tập, nhóm sử dụng IC L-293D, IC mạch cầu H sử dụng khoá Transistor Trong phần Xây Dựng Phần Cứng phía sau, báo cáo nói rõ thêm IC Bây giờ, báo cáo sâu vào phân tích mạch cầu H sử dụng khoá Transistor 1.4.2 IC cầu H L293D IC cầu H thực chức cầu H có khóa, mà khóa khóa điều khiển điện, có cấu tạo chuy ển mạch transistor MOSFET Hình 1.17: IC cầu H L293D Trong IC này, có đầu vào điện áp là:  VCC1: 4.5 – 7V Báo cáo đồ án môn học Chương I: Cơ sở lý thuyết  VCC2: VCC1 - 36V Trong VCC1 điện áp cung cấp cho IC hoạt động, VCC2 điện áp đưa đầu động Nếu VCC2 có giá trị lớn động quay mạnh Báo cáo đồ án môn học Chương II: Quá trình thiết kế CHƯƠNG II: Q TRÌNH THIẾT KẾ 2.1 Sơ đồ khối hệ thống Với kiến thức có sau nghiên cứu đề bài, đồng thời dựa định hướng thực tập, nhóm thảo luận xây dựng sơ đồ hệ thống ô gồm khối thành phần hình sau: Hình 2.1: Sơ đồ khối hệ thống Trong sơ đồ trên, hai khối nguồn nguồn pin cung cấp lượng cho thiết bị Trong đó, Nguồn cung cấp lượng cho: Kit CPLD (CoolRunner II), VĐK Arduino, cảm biến siêu âm thu sóng RF; Nguồn cung cấp lượng cho: mạch cầu H mô Sử dụng nguồn riêng biệt nhằm cung cấp nguồn ổn định cho mơ Trong thiết kế, nhóm sử dụng thêm VĐK Arduino yếu tố chủ yếu đây:  Nhóm cố gắng để lập trình VHDL cho cảm biến siêu âm SRF05 đo khoảng cách kit CoolRunner II làm quen với kit nên sau thời gian lập trình khơng thành phần đo khoảng cách với SRF05 nên nhóm chuyển hướng dùng Arduino để đo khoảng cách, khoảng cách đo nhỏ 20cm suất bit chân I/O, chân kết nối với CPLD để kit số xét đưa lệnh sử lý thích hợp  Cái khó để lập trình VHDL cho SRF05 đo khoảng cách là, sau kích cho SRF05 hoạt động xung độ rộng 10us chân Echo (chân cho độ rộng xung tỷ lệ với khoảng cách ) SRF05 tự động đưa lên 1, phần đếm CPLD thiết kế chưa tốt chưa xét chân Echo lên nên kết đo chưa xác Báo cáo đồ án mơn học Chương II: Q trình thiết kế 2.2 Thiết kế phần cứng 2.2.1 Thiết kế phần khung xe Trên sở sơ đồ khối hệ thống, nhóm thực thiết kế phần cứng ô hình sau: Hình 2.2: Thiết kế phần cứng Trong thiết kế này, cảm biến siêu âm bố trí cố định theo hướng : trước, trái phải Phần sàn gồm tầng, đó, tầng để đặt pin, tầng thứ đặt kit CoolRunner II, tầng đặt bo mạch kết nối module hệ thống IC cầu H, L293D, bố trí bo mạch với VĐK Arduino Cầu H kết nối tới mô trái phải, mô thực điều khiển bánh: mô trái điều khiển hai bánh bên trái ô tô, mô phải thực điều khiển hai bánh phải Với thiết kế phần cứng trên, cần điều khiển trái phải điều khiển hướng Với mạch điều khiển RF, nhóm thiết kế bảng điều khiển với nút để dễ dàng cho việc điều khiển, dùng điều khiển từ module mua sẵn khó bấm 2.2.2 Thiết kế chuyển đổi điện áp 3.3V Tín hiệu mã hóa từ chân arduino có mức logic cao 5V, v ậy, đ ể giao tiếp với kit Cool Runner II ta phải có mạch phân áp để chuyển mức logic cao TTL 5V sang mức logic cao CMOS 3.3V Có nhi ều phương pháp đ ể chuyển đổi dùng điện trở để phân áp, dùng IC chuẩn dùng diode zenner Trong mạch nhóm sử dụng phương pháp dùng diode zenner với UD = 3.3V để phân áp qua điện trở 330 Ohm nối với 5V Tín hiệu lấy ểm điện trở 330 Ohm Cathode zenner hình đây: Báo cáo đồ án mơn học Chương II: Q trình thiết kế OUT_3v3 IN_JP3V3 JP5 330 JP5 D3V3_1 GND Hình 2.3: Mạch phân áp 3.3V 2.3 Thiết kế phần mềm Sơ đồ đường tín hiệu kết nối hệ thống ô thể hình Hình 2.4: Sơ đồ kết nối tồn hệ thống Trong phần xử lý Arduino bao gồm: lập trình đo khoảng cách cho cảm biến siêu âm, xét tín hiệu thu RF, sau mã hóa bit khoảng cách trạng thái thu RF thành đường bit chân I/O Kit CoolRunner II kết nối với Arduino qua đường, thể sơ đồ sau: Báo cáo đồ án mơn học Chương II: Q trình thiết kế Phần lập trình cho CoolRunner bao gồm hai phần chính: + Phần thứ chế độ điều khiển ô RF theo hướng: tiến, lùi, quay trái, quay phải, tiến chếch trái, tiến chếch phải phát vật cản phía trước mặt để tránh va chạm vào vật cản Thuật toán xử lý thể qua sơ đồ sau: Hình 2.5: Lưu đồ thuật tốn hệ thống Như sơ đồ ta thấy, tất các liệu đo từ cảm biến siêu âm hướng vi điều khiển arduino xử lý, sau liệu mã hóa dạng bit để chuyển đến kit CRII, kit CRII nhận mã xuất tín hiệu điều khiển hướng + Phần thứ hai phần ô chế độ tự động kích hoạt chế độ tự động từ RF, gặp vật cản xét khoảng cách hướng định hướng thoáng để di chuyển Báo cáo đồ án môn học Kết luận Kết luận Kết đạt Sau trình lỗ lực để hồn thiện tập, nhóm đạt kết tốt sau:  Nhóm thiết kế thành công ô thực đầy đủ chức mà đề đặt  Biết hệ thống số nào, thiết kế hệ thống số đơn giản.s  Hiểu biết điều khiển vô tuyến lĩnh vực điều khiển tự động Những tồn hướng phát triển đề tài  Những tồn   Module RF điều khiển chiều khoảng cách khơng q xa Chưa lập trình VHDL cho SRF05 đo khoảng cách nên phải dùng thêm vi điều khiển để xử lý  Biện pháp khắc phục hướng phát triển  Sử dụng module thu phát sóng RF có khoảng cách xa hơn, thu phát sóng hai chiều để truyền thơng tin qua lại  Sử dụng thêm cảm biến gia tốc điều khiển  Tiếp tục phân tích để lập trình VHDL đo khoảng cách với SRF05 ... Báo cáo đồ án môn học Đề tài định hướng thực ĐỀ TÀI VÀ ĐỊNH HƯỚNG ĐỀ TÀI Trong khuân khổ môn “Đồ án thiết kế hệ thống số” với đề Thiết kế ô tô điều khiển từ xa tự động tránh vật cản , nhóm đưa... Chức 1: Điều khiển từ xa thơng qua sóng RF, chế độ điều khiển có trạng thái thẳng, lùi, rẽ trái rẽ phải - Chức 2: chế độ tự động, xe tự động di chuyển địa hình tự động tránh va chạm với vật cản phía... thực điều khiển hai bánh phải Với thiết kế phần cứng trên, cần điều khiển mơ tơ trái phải điều khiển tơ hướng Với mạch điều khiển RF, nhóm thiết kế bảng điều khiển với nút để dễ dàng cho việc điều

Ngày đăng: 04/05/2019, 21:01

Từ khóa liên quan

Mục lục

  • MỤC LỤC

  • DANH MỤC BẢNG HÌNH VẼ

  • LỜI MỞ ĐẦU

  • ĐỀ TÀI VÀ ĐỊNH HƯỚNG ĐỀ TÀI

  • CHƯƠNG I: CƠ SỞ LÝ THUYẾT

    • 1.1. Kit Xilinx Coolrunner-II.

      • 1.1.1. Tổng quan

      • 1.1.2. Cấu hình

      • 1.1.3. Các thiết bị điện trong board

      • 1.1.4. Đồng hồ

      • 1.1.5. Các thiết bị I/O

      • 1.1.6. Cổng kết nối mở rộng

      • 1.1.7. Thiết kế board

    • 1.2. Điều khiển vô tuyến.

      • 1.2.1. Tổng quan về sóng điện từ

      • 1.2.2. IC tạo mã và giải mã PT2262, PT2272

      • 1.2.3. Modul thu phát RF31-4CH

    • 1.3. Cảm biến siêu âm SRF05

  • 1.4. Mạch cầu H

    • 1.4.1. Khái niệm về mạch cầu H

      • Hình 1.15: Mô hình mạch cầu H đơn giản

    • 1.4.2. IC cầu H L293D.

  • CHƯƠNG II: QUÁ TRÌNH THIẾT KẾ

    • 2.1. Sơ đồ khối hệ thống

    • 2.2. Thiết kế phần cứng

      • 2.2.1. Thiết kế phần khung xe

      • 2.2.2. Thiết kế bộ chuyển đổi điện áp 3.3V

    • 2.3. Thiết kế phần mềm

  • Kết luận

    • 1. Kết quả đạt được.

    • 2. Những tồn tại và hướng phát triển của đề tài.

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan