Báo cáo giữa kỳ Ic số đại học bách khoa hà nội

65 903 1
Báo cáo giữa kỳ Ic số đại học bách khoa hà nội

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Báo cáo bài tập giữ kỳ môn ic số đại học bách khoa hà nội, có đầy đủ các bài chương 3,4 FPGA và bài tập giao trên lớp thầy cường................................................................................

TRƯỜNG ĐẠI HỌC BÁCH KHOA NỘI VIỆN ĐIỆN TỬ - VIỂN THÔNG BÁO CÁO BÀI TẬP LỚN Thiết kế tổng hợp hệ thống số Đề tài: Bài tập thiết kế Giảng viên : TS Võ Lê Cường Họ và tên MSSV Đỡ Duy Khải 20142327 Nguyễn Hồi Sơn 20143827 Hà nội 11/2018 MỤC LỤC PHÂN CHIA CÔNG VIỆC - Sơn Khải: cả hai làm báo cáo phần cơng việc - Sơn: tập chương 3(FPGA), mô mạch đếm mạch cộng bit(bài tập đầu kì) - Khải: Các tập chương 4(FPGA) , mô mạch so sánh (bài tập đầu kì) - Các tập ví dụ mô tài liệu FPGA and HDL Chương 1: Bài tập sử dụng modelsim 1.1.Thiết kế mạch so sánh bit 1.1.1 So sánh mô hình cấu trúc: - u cầu : sử dụng mơ hình cấu trúc để thiết kế mạch so sánh Mục tiêu : sử dụng công logic đơn giản : Thiết kế ta vẽ bìa nơ cho đầu vào [1:0] A, [1:0]B INPUT A1 0 0 0 0 1 1 1 1 A0 0 0 1 1 0 0 1 1 B1 0 1 0 1 0 1 0 1 B0 1 1 1 1 A>B 0 0 0 1 0 1 OUTPUT A= B 0 0 0 0 0 0 AB) ?1:0; assign AltB = (A begin // > end $display("Running testbench"); end always #5 d=d+1; always #15 en=en+1; //always #10 load=load+1; always #20 up=up+1; always // optional sensitivity list // @(event1 or event2 or eventn) begin // code executes for every event on sensitivity list // insert code here > begin @eachvec; // > end end 62 endmodule Mô Phỏng 3.5 Thiết kế mạch mod-m-counter Code module mod_m_counter #( parameter N=4, // number of b i t s in c o u n t e r M=10 // mod-M ) ( input wire clk, reset, output wire max_tick, output wire [N-1:0] q ); reg [N-1:0] r_reg; 63 wire [N-1:0] r_next ; always @ ( posedge clk , posedge reset ) if (reset) r_reg

Ngày đăng: 09/11/2018, 12:15

Từ khóa liên quan

Mục lục

  • MỤC LỤC

  • PHÂN CHIA CÔNG VIỆC

  • Chương 1: Bài tập về sử dụng modelsim

    • 1.1.Thiết kế mạch so sánh 2 bit

    • 1.2. Thiết kế mạch half add

    • 1.3.Thiết kế mạch full add 1bit

    • 1.4.Thiết kế mạch full add 4bit

    • 1.5.Thiết kế mạch mux 2-1

    • 1.6.Thiết kế mạch mux 4-1

    • Chương 2: Các bài tập chương 3.

      • 2.1Thiết kế mạch so sánh 1 bit 1eq_always

      • 2.2.Thiết kế mạch and_cont_assign.

      • 2.3.Thiết kế mạch prio_encoder_if.

      • 2.4.Thiết kế mạch decoder_2_4_if

      • 2.5.Thiết kế mạch decoder_2_4_case

      • 2.6.Thiết kế mạch prio_encoder_case: (tương tự như trên)

      • 2.7.Thiết kế mạch prio_encoder_casez và casex

      • 2.8.Thiết kế mạch adder_carry_hard_lit.

      • 2.9.Thiết kế mạch adder_carry_local_par

      • 2.10.Thiết kế mạch adder_carry_para

      • 2.11.Thiết kế mạch adder_insta.

      • 2.12.Thiết kế mạch adder_carry_95.

Tài liệu cùng người dùng

Tài liệu liên quan