Photochemistry volume 38

401 113 0
Photochemistry volume 38

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Specialist Periodical Reports Editor Angelo Albini Photochemistry Volume 38 Photochemistry Volume 38 A Specialist Periodical Report Photochemistry Volume 38 A Review of the Literature Published between July 2007 and December 2009 Editor Angelo Albini, University of Pavia, Pavia, Italy Authors Francesco Barigelletti, Istituto ISOF-CNR, Italy Adalbert Braig, Performance Chemicals Research, Switzerland M Consuelo Jime´nez, Universidad Polite´cnica de Valencia, Spain Telma Costa, University of Coimbra, Portugal Kurt Dietliker, Performance Chemicals Research, Switzerland Daniele Dondi, University of Pavia, Italy Rui Fausto, University of Coimbra, Portugal Andrea Go´mez-Zavaglia, University of Coimbra, Portugal Sylvie Lacombe, Universite´ de Pau et Pays de l’Adour, France Andrea Maldotti, Universita´ degli Studi di Ferrara, Italy Daniele Merli, University of Pavia, Italy Miguel A Miranda, Universidad Polite´cnica de Valencia, Spain Kazuhiko Mizuno, Osaka Prefecture University, Japan Gloria Olaso-Gonza´lez, Universitat de Vale`ncia, Spain Thierry Pigot, Universite´ de Pau et Pays de l’Adour, France Joa˜o Pina, University of Coimbra, Portugal Luca Pretali, University of Pavia, Italy Andrea Ricci, Performance Chemicals Research, Switzerland Daniel Roca-Sanjua´n, Universitat de Vale`ncia, Spain J Se´rgio Seixas de Melo, University of Coimbra, Portugal Luis Serrano-Andre´s, Universitat de Vale`ncia, Spain Takashi Tsuno, Nihon University, Japan If you buy this title on standing order, you will be given FREE access to the chapters online Please contact sales@rsc.org with proof of purchase to arrange access to be set up Thank you ISBN 978-1-84755-054-5 ISSN 0556-3860 DOI: 10.1039/9781849730860 A catalogue record for this book is available from the British Library & The Royal Society of Chemistry 2011 All rights reserved Apart from fair dealing for the purposes of research or private study for non-commercial purposes, or for private study, criticism or review, as permitted under the Copyright, Designs and Patents Act, 1988 and the Copyright and Related Rights Regulations 2003, this publication may not be reproduced, stored or transmitted, in any form or by any means, without the prior permission in writing of The Royal Society of Chemistry, or in the case of reproduction in accordance with the terms of the licences issued by the Copyright Licensing Agency in the UK, or in accordance with the terms of the licences issued by the appropriate Reproduction Rights Organization outside the UK Enquiries concerning reproduction outside the terms stated here should be sent to The Royal Society of Chemistry at the address printed on this page Published by The Royal Society of Chemistry, Thomas Graham House, Science Park, Milton Road, Cambridge CB4 0WF, UK Registered Charity Number 207890 For further information see our web site at www.rsc.org Preface Angelo Albinia DOI: 10.1039/9781849730860-FP005 This is Volume 38 in the series Specialist Periodical Reports on Photochemistry and completes the updating process by reviewing the 212 years period from July 2007 to December 2009 From 2010 on it is hoped that the yearly periodicity will be restated and every effort will be given for reducing the gap between the publication date of the original research and the review in this series On the other hand, a rapid publication is no more the only issue New papers are nowadays available on the PC screen of everybody, whether at work or at home, sometimes several months in advance to the actual publication date and retrieving a desired information is easy and rapid via any of the available search engines Thus, the newest aspects of each field will continue to find a mention in this series, but this will be based on a in depth discussion of a limited choice of papers, rather than on a comprehensive presentation of the activity in the field The review part will be accompanied by a second part containing highlights on specific aspects This is expected to become as important as the former one in this series – and has already expanded in this volume The plan of the reports remains the same, articulated in three sections, devoted respectively to the physical and theoretical, to the organic and to the inorganic aspects In the first section a review on theoretical aspects has been inserted (by Prof Serrano-Andre`s) and in the last one the very extensive material on inorganic photochemistry has been subdivided between prof Maldotti and Prof Barigelletti The highlights section has doubled, growing fom to contributions These mainly concern applied and industrial aspects, viz new materials as photosensitizers, prebiotic photochemistry and a field characterized by a rapid grow, such has photolithography A further highlight concerns coatings in the automobile industry and may be followed by further contributions evidencing where one may look for photochemistry in the industry This is probably not where photochemistry practitioners think it should, but this is the state of affairs and there goes most of the money invested in photochemistry We are happy that well known experts from the industry found the time for contributing As always, comments are highly welcome, we need to know whether this work has to be continued – and how The impression of the present reporter is that having available a broad-scope information in a single book may help in discovering connections with areas outside the everyday field of activity, which is surely a plus But it is the readers’ opinion that matters a Organic Chemistry Department, Pavia University, viale Taramelli, 10, 27100, Pavia, Italy Photochemistry, 2011, 38, v–v | v  c The Royal Society of Chemistry 2011 CONTENTS Cover An energy level diagram overlaid on the sun Background image reporduced by permission of NASA v Preface Angelo Albini Reports Review of the period July 2007–December 2009 Angelo Albini A bit of history Photochemical literature: the present state Review References 1 Physical and theoretical aspects Recent trends in computational photochemistry Luis Serrano-Andre´s, Daniel Roca-Sanjua´n and Gloria Olaso-Gonza´lez Introduction Theoretical methods and concepts for excited states 10 10 12 Photochemistry, 2011, 38, vii–xi | vii  c The Royal Society of Chemistry 2011 Recent studies on photophysics and photochemistry Photochemistry: nonadiabatic processes and reactivity Conclusions References 16 19 28 29 Light induced reactions in cryogenic matrices 37 Rui Fausto and Andrea Go´mez-Zavaglia Introduction UV/visible-induced reactions in cryomatrices IR-induced reactions in cryomatrices References 37 38 60 63 Dynamics and photophysics of oligomers and polymers Joa˜o Pina, Telma Costa and J Se´rgio Seixas de Melo Organic conjugated polymers and oligomers Dynamics of polymers hydrophobically modified with fluorescent probes Conclusions References 67 67 90 105 105 Organic aspects Alkenes, alkynes, dienes, Takashi Tsuno Photochemistry Photochemistry Photochemistry Photochemistry Photooxidation References polyenes 110 of of of of 110 131 132 133 134 135 alkenes polyenes alkynes enynes Oxygen-containing functions M Consuelo Jime´nez and Miguel A Miranda Introduction Norrish Type I reactions Hydrogen abstraction viii | Photochemistry, 2011, 38, vii–xi 143 143 143 145 Paterno`-Buăchi photocycloadditions Photoreactions of enones and quinones Photodecarbonylation Photodecarboxylation Photo-fries and photo-claisen rearrangements Photocleavage of cyclic ethers References 150 152 158 158 160 161 162 Photochemistry of aromatic compounds Kazuhiko Mizuno Introduction Isomerization reactions Addition and cycloaddition reactions Substitution reactions Intramolecular cyclization reactions Inter- and intra-molecular dimerization reactions Lateral-nuclear rearrangements References 168 Functions containing a heteroatom different from oxygen Angelo Albini and Elisa Fasani Nitrogen containing functions Functions containing different heteroatoms References 210 168 168 173 180 183 189 202 203 210 226 230 Inorganic aspects and solar energy conversion Photophysics of transition metal complexes Francesco Barigelletti Introduction Ruthenium Osmium Rhenium Iridium Rhodium Platinum Palladium Copper 10 Gold 234 234 234 240 241 243 247 248 250 251 252 Photochemistry, 2011, 38, vii–xi | ix need to be understood The rapid progress that has characterized the semiconductor industry since its birth in large part stems from refinement of the lithographic techniques used to fabricate integrated circuits Industry planning calls for the pace of miniaturization in semiconductor technology to be maintained well into the future.23 The prospects and issues tied to extension of semiconductor technology into the nanoscale regime have been examined in detail,24 and factors that limit the use of lithographic exposure technology to support that miniaturization have been recently reviewed.25,26 Embedded in the specifications of the industry roadmap is the need for chemically amplified resists that provide lithographic performance suitable to sustain their extension to the 32 nm dimensional regime It is recognized that the advancement of semiconductor technology cannot continue at the current pace Given the economic importance of semiconductors, the nature and positioning of various limits has been examined in some depth Such organization has facilitated a systematic evaluation of the theoretical and practical factors that will influence the evolution of semiconductor technology The limits of lithography can be considered in a similar framework The ultimate achievable resolution, radiation sensitivity and preciseness of image formation is a consequence of a set of fundamental limits controlled by many factors These set a lower bound for the next hierarchical level of materials limits, which are shaped by the intrinsic chemical and physical properties of the imaging medium (the resist) and may be degraded from those at the fundamental level The material limits in turn form a lower bound for process limits, where the attributes of the tooling and operating conditions used in the imaging process dictate the best achievable lithographic performance For example, the wavelength and numerical aperture of the exposure tool may restrict overall resolution to a level that is inferior to the intrinsic resolution of the resist in use 3.2 ArF transparent polymer systems The need for new polymers systems is solely based on the optical properties of existing KrF materials Fig demonstrates the highly absorbing nature of phenolic systems at 193 nm The first single layer transparent polymer system discussed by Allen et al was a single layer acrylate system originally designed for printed circuit board applications over 20 years ago.27 Improvements in etch resistance quickly became a priority by incorporating cyclic olefins into the backbone.28 Over the past 10 years numerous researchers have developed new materials or improvements to existing materials to enhance etch resistance and imaging performance The literature has numerous examples; however, for the purposes of this chapter we will focus on several basic systems The chemical structures of the polymers are shown in Fig are cyclic olefin polymers29 which are thermally stable The second consists of polyacrylate The third consists of poly (t-butyl-cycloolefin50-maleic anhydride50) (COMA) all shown in Fig 6a, b and c,30 along with number combinations of all the above systems 374 | Photochemistry, 2011, 38, 369–387 Rohm & Haas UV6-Sumika PAR 101 24.0 22.0 UV6-2D PAR101 Absorbance10 (1/um) 20.0 18.0 16.0 14.0 12.0 10.0 8.0 6.0 4.0 2.0 0.0 165 172 179 186 193 200 207 214 221 228 Wavelength (nm) Fig UV of KrF resist at 193 nm Fig Polymer is a ArF cyclic olefin copolymer Polymer II has slightly lower thermal stability due to CH2 spacer group Fig Example of polyacyralate systems Photochemistry, 2011, 38, 369–387 | 375 3.3 New classes of ArF polymers The development of polymers to meet the transparency requirements for 157 nm lithography created a class of polymers that consisted of high contents of fluorine These systems31 incorporated nearly 50% fluorine to achieve transparency goals The unfortunate demise of 157 nm technology did create a vast library of knowledge in new systems that not only have nearly 99% transmission at 193 nm but also had unique properties that improved the performance of various types of polymers In this Section the authors will discuss these new classes of polymers along with new systems that have been created as topcoat or protective layer materials for immersion lithography which will be discussed in the following Section The Willson Research Group at the University of Texas32 explored the selective incorporation of fluorine in a norbornane system The plot in Fig demonstrates the improvement in absorbance at 157 nm of norbornane dependant on the location of the fluoro group In this plot we also see a significant improvement in absorbance at longer wavelengths This activity yielded several interesting polymers with low absorbance initially at 157 nm and later at 193 nm The polymer shown in Fig is a copolymer of NBHFA and NBHFA t-BOC Trinque et al33 discussed the synthesis and application of this polymer for imaging at 157 nm Further Absorbance per mTorr 0.0016 0.0012 CF3 OH 0.0008 F F 0.0004 155 160 165 170 175 Wavelength (nm) Fig Fig Fluoropolymer of nbhfa and nbhf t-boc 376 | Photochemistry, 2011, 38, 369–387 180 Fig Free radical polymerization of acrylate systems that have incorporated norbornane for etch resistance investigation into the optical properties of this system and imaging capability has also been investigated34 this copolymer is 99% transmissive at 193 nm Varanasi et al published35 variations of polymers shown in Fig which takes advantage of simple free radical polymerization of acrylate systems that have incorporated norbornane for etch resistance Up to now we have discussed the incorporation of fluorine for improvements in transparency which is still true however, in this work not only is there an improvement but Varanasi et al discovered that the incorporation of a monomer contain fluorine assists in reducing swelling in acrylate polymer systems during development Varanasi reported that since the pKa of HFA is similar to that of phenol, that HFA incorporated methacrylate resists would behave similar to ESCAP based KrF resists in terms of resist dissolution kinetics For the purpose of a comparison study, Varanasi prepared a simple copolymer of t-butylmethacrylate and NB-HFA-MA (40/60) using free radical polymerization method (Fig 10) This composition was chosen primarily to mimic well known ESCAP copolymer of t-butylacrylate and Fig 10 Dissolution Rate vs Exposure Dose Curve obtained with an ArF resist formulation containing copolymer of t-Butylmethacrylate and NB-HFA-MA Photochemistry, 2011, 38, 369–387 | 377 p-hydroxystyrene (40/60) The corresponding resist formulation was prepared using industry standard photoacid generator and quencher combinations Dissolution rate vs exposure dose curves were obtained by flood exposing (254 nm wavelength, obtained from Hg-Xe lamp) cast resist films at various exposures doses, processed and then obtained dissolution rate information using QCM method The comparison of data shown in Fig 10 reveals that HFA based ArF methacrylate resist behaves similar to ESCAP KrF resist, and not show any swelling behavior even at the onset of dissolution contrast, unlike typical ArF methacrylate resists Another interesting aspect of these systems is the improvement in post exposure bake sensitivity Typically high etch resistant methyl acrylates resists are based on multi-cyclic bulky protecting groups such as methyl adamantyl group Resists derived from methyl adamantyl protecting group based polymers often suffer from higher PEB sensitivity (5 nm/1C to 10 nm/1C) with these systems reporting PEB sensitivities B1nm/1C 3.4 Extending ArF ArF immersion lithography has emerged as the candidate for 45 nm node technology.36 The basic idea of immersion lithography is filling the gap between the final lens element and the photoresist with a fluid which has a higher refractive index (n) than air (n=1) so that resolution and DOF (depth of focus) can be increased.37 Fig 11 depicts the two advantages of immersion technology One is to increase DOF of an exposure system while maintaining same resolution of a dry system at equal numerical aperture The image-forming angle of the deflected light in the photoresist does not change but the incident angle in the fluid above the resist surface does change Because the incident angle in the fluid becomes smaller, the available DOF is increased Existing dry scanner lenses need little modification on the shape and position of the lens elements to preserve the incident angle in the resist For NA beyond 1, the Fig 11 The two advantages of immersion lithographic system, (a) increase DOF by decreasing the incident angle in water, and (b) enhance resolution by enabling hyper NA lens design 378 | Photochemistry, 2011, 38, 369–387 advantage is to enhance the resolution beyond the limit of a dry system using the same wavelength The optical system is re-designed to preserve the physical angle in the coupling medium The incident angle of the exposure light in the resist can then be enlarged to resolve features in smaller half pitch Of course, the incident angle does not have to be confined to only these two specific cases Resolution and DOF can be traded off against each other by selecting the incident angle properly This increase in depth is demonstrated in Fig 12 for 90 nm isolated lines both vertical and horizontal SEM micrographs demonstrated in Fig 13 for both dry and wet The success of ArF water immersion lithography is inspiring many engineers and scientists to think if ArF immersion lithography could be put forward further Could critical dimension smaller than 45 nm, Fig 12 Dry and wet process windows for 90-nm isolated lines (courtesy Bob StreefkerkASML Fig 13 90 nm isolated wet and dry Photochemistry, 2011, 38, 369–387 | 379 for example 32 nm, be achieved by ArF immersion lithography with a high refractive index fluid? 3.5 Extending ArF with new immersion lens & fluids The further extension of ArF immersion can in principle continue if a fluid exists with physical properties similar to water but maintains a higher refractive index at 193 nm This increase in refractive index allow lens designers to build a larger lens system of greater numerical aperture and thus higher resolution.38,39 Water as an immersion fluid has a theoretical limit in numerical aperture equal to the index of water.40 The practical limit for lens design is even less and estimated to be approximately 1.3 NA With k1 of 0.27 this would result in 40-nm half pitch resolution The latest experimental data on high index fluids is presented in the paper of Sewell.41 Burnett42 pointed out that next to high index fluids also high index glass materials are required to enable the super high NA lens designs Regarding lens designs, immersion lenses may follow two different approaches The first one is the approach with a flat surface near the image side, the second one with a curved surface near the imaging side With the flat surface approach the refractive power is dominated by the glass material and the fluid index should be matched as good as possible to the index of the glass The advantage of this approach is that the fluid film can be relatively thin This relaxes the absorption requirements on the fluid With the approach of a curved last lens surface only the fluid index determines the maximum NA However, in this case the optical path through the fluid cannot be small, and thus the requirements on the fluid absorption become very tight Besides absorption there are additional requirements on the fluid like viscosity, thermal dependency and cost If the basic requirements for high index immersion fluids for ArF lithography are compared with the published experimental data43–46 we conclude that the current fluids are too high in absorption, too high in dn/dT and too expensive If we assume the condition n=n(fluid)=n(glass) and assume maximum NA=0.9*n and minimum k1= 0.27, we can plot the resolution limit of ArF immersion lithography The result is shown in Fig 14 With the currently published index numbers of fluids and glass materials ArF resolution is limited to 36-nm In order to reach 32-nm, new fluid and glass materials are required with refractive index numbers exceeding 1.8 From this calculation, 32 nm or below resolution can be achieved with high refractive index fluid (n=1.64) Although EUV (13 nm) lithography has been suggested to be used in 32 nm node or below, the development of exposure tools for EUV is still in an early stage and much time and effort is thought to be needed because of the technical hurdle By making use of existing water immersion technology, ArF immersion with high index fluids has apparently the advantage of lower cost and risk for tool development This is why ArF immersion is now gaining more and more spotlight as a candidate for the next generation lithography (NGL) technology Initial attempts to develop high RI fluids for ArF immersion has been carried out aiming at increasing refractive index by addition of inorganic materials 380 | Photochemistry, 2011, 38, 369–387 Fig 14 Calculated resolution vs NA Smith et al47 reported various refractive indexes at 193 nm wavelength with doped waters They utilized CTTS (‘‘charge-transfer-to-solvent’’ transition) to induce the small absorption near the 193 nm wavelength with inorganic ions and therefore heightened the refractive index of water They presented the result of 68 nmL-S imaging by an aqueous solution of 85% phosphoric acid with refractive index of 1.55 at 193 nm wavelength.48 A unique approach is also reported by applying nano-sized metal oxide Researchers at SEMATECH and Clemson University reported that refractive index of water dispersed with aluminum oxide nano-particles could be as high as 1.6.49 Although this kind of an approach can take advantage of some favorable properties of water, they appear to sacrifice others For example, although CTTS can increase the refractive index of water, it also reduces the transmittance of water Inorganic ions of metal oxides can damage lens and or leave photoresist defects Furthermore, mixed aqueous compositions have another disadvantage, the difficulty to precise control the accuracy of their refractive indexes, as small amounts of variation in concentration would cause enough fluctuation in refractive index The ideal solution would be a single component fluid.50 Researchers from JSR and Dupont disclosed organic fluids with a refractive index of 1.65 at 193 nm Imaging studies have been completed through the use of interferometric lithography demonstrating 32 nm 1/2 pitch imaging This demonstration is a great step forward in the further extension of immersion ArF lithography; however, there are numerous challenges not only in fluids but resist materials and the optical system of the exposure tool The challenges have become so great that the industry has dropped the further development of this technology Fig 15 shows the calculated resolution (W) based on the Rayleigh equation (see Eq in Sec 1) Photochemistry, 2011, 38, 369–387 | 381 Fig 15 3.6 Extending ArF through double patterning Double exposure is a sequence of two separate exposures of the same photoresist layer using two different photomasks This technique is commonly used for patterns in the same layer which look very different or have incompatible densities or pitches In one important case, the two exposures may each consist of lines which are oriented in one or the other of two usually perpendicular directions This allows the decomposition of two-dimensional patterns into two one-dimensional patterns which are easier to print The sum of the exposures cannot improve the minimum resolution limit unless the photoresist response is not a simple addition of the two exposures The double exposure technique allows manufacturability of minimum pitch features in a layout that may contain a variety of features Presently three different double patterning techniques have been published The first is a film layer formed on the sidewall of a pre-patterned feature A spacer is formed by deposition or reaction of the film on the previous pattern, followed by etching to remove all the film material on the horizontal surfaces, leaving only the material on the sidewalls By removing the original patterned feature, only the spacer is left However, since there are two spacers for every line, the line density has now doubled The spacer technique is applicable for defining narrow gates at half the original lithographic pitch, for example The spacer approach is unique in that with one lithographic exposure, the pitch can be halved indefinitely with a succession of spacer formation and pattern transfer processes This conveniently avoids the serious issue of overlay between successive exposures The spacer lithography technique has most frequently been applied in patterning fins for FinFETs As spacer materials are commonly hardmask materials, their post-etch pattern quality tends to be superior compared to photoresist profiles after etch, which are generally plagued by line edge roughness The main issues with the spacer approach are whether the spacers can stay in place after the material to which they are attached is removed, whether the spacer profile is acceptable, and whether the underlying material is attacked by the etch removing the 382 | Photochemistry, 2011, 38, 369–387 material attached to the spacer Pattern transfer is complicated by the situation where removal of the material adjacent to the spacers also removes a little of the underlying material This results in higher topography on one side of the spacer than the other The positioning of the spacer also depends on the pattern to which the spacer is attached If the pattern is too wide or too narrow, the spacer position is affected However, this would not be a concern for critical memory feature fabrication processes which are selfaligned The second is double exposure, double etch lines (LELE): Photoresist coating over first pattern; photoresist features between previous features; etching; mask removal This is best described by considering a process example A first exposure of photoresist is transferred to an underlying hardmask layer After the photoresist is removed following the hardmask pattern transfer, a second layer of photoresist is coated onto the sample This second layer undergoes a second exposure, imaging features in between the features patterned in the hardmask layer The surface pattern is therefore a set of photoresist features in between hardmask features, which can be transferred into the final layer underneath This allows a doubling of feature density A concern with the use of this approach is the discrepancy and delay between the second photoresist pattern and the first hardmask pattern, resulting in an additional source of variation A variation on this approach which eliminates the first hardmask etch is resist freezing, which allows a second resist coating over the first developed resist layer In Fig 16 JSR has demonstrated 32 nm lines and spaces using this method, where the freezing is accomplished by surface hardening of the first resist layer Certain other double patterning techniques, such as Fujifilm’s double development process, result in the formation of loops rather than lines and spaces A second mask would be needed to break these loops into separate lines and spaces Fig 16 Photochemistry, 2011, 38, 369–387 | 383 3.7 Post ArF At this time (mid-2010), 45 nm device fabrication is continuing to ramp up The International Technical Roadmap for Semiconductors51 ITRS), which outlines target device and materials requirements for future generations of semiconductor devices, calls for device dimensions to shrink to B20 nm minimum size by the year 2016 It is anticipated that the ‘‘next-generation lithography’’ (NGL) exposure technologies52 using extreme-ultraviolet53 (EUV) radiation or electron-beam projection54 (EBP) will be necessary to achieve adequate resolution It is not surprising that resist functional requirements become increasingly stringent as dimensions of the target devices shrink For the ITRS 22 nm technology node (DRAM half-pitch), which is the most stringent metric for resist resolution rather than the less reliable measurement of isolated features55, requirements are that the resist will be used at a film thickness between 40–80 nm, will exhibit a line-edge roughness (LER) of no greater than nm per edge (3s) and will support overall control of critical dimensions to nm (3s).56,57 These tolerances are smaller than the dimensions of the polymer molecules that constitute today’s resists58, and given a typical carbon-carbon bond length of 0.13–0.15 nm,59 it is clear that this specification is a call for atomic-scale control To find practical use, a resist material must satisfy an extensive, comprehensive list of functional properties Any viable resist must simultaneously achieve the target resolution, adequate sensitivity and acceptable imaging precision These attributes ultimately are dictated by economics: the need to produce a product that the market wants at acceptable cost Resist resolution determines the number of devices per circuit, device speed, and the number of devices per wafer; resist sensitivity governs wafer throughput per tool; and imaging precision affects device performance and yield Advanced research, largely carried out at academic laboratories active in nanoscience and nanofabrication, has sought to identify and extend the limits of nanoscale lithography Among more conventional organic resist materials, the consensus is that PMMA is capable of imaging line-space arrays (formed by electron beam lithography) at the 15–20 nm scale (30– 40 nm pitch)60–66 without excessive LER,67 currently the record for a polymer-based resist Other nonpolymeric organic resist materials have been reported to exhibit similar resolution and low LER.68,69 While these studies provide proof that resolution and LER consistent with the 2016 roadmap requirements is in principle attainable by currently known means, the radiation sensitivity of the materials used for these demonstrations is inadequate by orders of magnitude The anticipated low brightness of NGL radiation sources is such that resists with very high radiation sensitivity will be required Resist resolution criterion for 20 nm scale lithography development of EUV and EBP prototype tools.70,71 The expectation that CA resists will be used with NGL is signaled by an ITRS specification of allowable change in image size with post-exposure bake (PEB) temperature72 A key issue, then, and still unproven, is whether CA resists can simultaneously satisfy resolution and image precision specifications while maintaining adequate radiation sensitivity 384 | Photochemistry, 2011, 38, 369–387 References R R Kunz, S C Palmateer, A R Forte, R D Allen, G M Wallraff, R A DiPietro and D Hofer, Proc SPIE, 1996, 2724, 365 C.M Garza and W.L Krisa, Tools to Extend the Resolution of Optical Lithography, 10th Int Conf on Photopolymers, Oct 1994, Ellenville, N.Y, Society of Plastic Engineers, Mid-Hudson Section, Nov 1994 H Ito, J Photopolym Sci Tech., 1998, 11, 379 Y Kawamura, T Toyoda and S Namba, J Appl Phys., 1982, 53, 6489 R D Allen, G M Wallraff, W D Hinsberg and L L Simpson, J Vac Sci Tech., 1991, B9, 3357 Y Kaimoto, K Nozoaki, S Takechi and N Abe, Proc SPIE., 1672, 66 E Gipstein, A C Ouano and T Thompkins, J Electrochem Soc., 1982, 129, 201 M J Hanrahan and K S Hollis, Proc SPIE, 1987, 771, 128 T Pawalshi, T Sauer, R Dammel, D J Gordon, W Hinsberg, W McKean, C Lindler, H Merrem, R Vicari and C G Willson, Proc SPIE, 1990, 1262, 391 10 E Reichmanis, C W Wilkins and E A Chandross, J Vac Sci Technol., 1981, 19, 1338 11 B D Grant, N J Clecak, R J Tweig and C G Willson, IEEE Trans Electron Devices, 1981, ED28, 1300 12 G Swartzkopf, K B Gabriel and J B Covington, Proc SPIE, 1987, 1262, 456 13 Houlihan, et al., Proc SPIE, 1994, 2195, 231 14 T Iwayanagi, T Kohashi, S Nonogaki, T Matsusawa, K Douta and H Yanazawa, IEEE Trans Electron Dev., 1981, ED–25, 1306 15 B J Lin, J Vac Sci Technol., 1975, 12, 1317 16 K Nate and T Kobayashi, J Electrochem Soc., 1981, 128, 1394 17 H Ito and C G Willson, in Polymers in Electronics, T Davidson (Ed.), Amer Chem Soc., Washington, 1984, p 11 18 J.V Crivello, in UV Curing: Science and Technology, S P Pappas (Ed.), Techn Mark Co., Norfolk, 1978 19 H Ito and C G Willson, Polym Eng Sci., 1983, 23, 1012 20 W E Feeley, J C Imhof, C M Stein, T A Fischer and M W Legenza, Polym Eng Sci., 1986, 26, 1101 21 J W Thackeray, G W Orsula, E K Pavelcheck and D Canistro, Proc SPIE, 1989, 1086, 34 22 H Ito, J M Frechet and C G Willson, Proc SPIE., 1984 23 International Technical Roadmap for Semiconductors, 2009 24 Proc IEEE, Special Issue on Limits of Semiconductor Technology, 89(3), 2001 25 T Ito and S Okazaki, Nature, 2000, 406, 1027 26 L Harriott, ref 2, 366–374 27 Allen et al., Proc SPIE, 1990 28 R D Allen, G M Waliraff, R A DiPietro and D C Hofer, Proc SPIE, 1985, 474, 2438 (1995) 29 M Khojasteh, K Chen, R Kwong, M Lawson, P Varanasi, K Patel and E Kobayashi, Proc SPIE, 2003, 5039, 187 30 Hada, et al., Proc SPIE, 2003, 5039, 752 31 R Allen, et al., Proc SPIE, 2005, 5753, 256 32 R Hung, et al., Proc SPIE, 2001, 4345, 385 33 Trinque, et al., Proc SPIE, 2002, 4690, 58 Photochemistry, 2011, 38, 369–387 | 385 34 W Conley, P Zimmerman, D Miller and G S Lee, Proc SPIE, 2003, 5039, 207 35 P R Varanasi, R W Kwong, M Khojasteh, K Patel, K.-J Chen, W Li, M C Lawson, R D Allen, R Sooriyakumaran, P Brock, L K Sundberg, M Slezak, G Dabbagh, Z Liu, Y Nishimura, T Chiba and T Shimokawa, Proc SPIE, 2005, 5753, 131 36 B J Lin, J Microlith Microfab Microsyst., 2022, 1, 37 E Abbe, Archiv Mikroskopische Anatomie, 1873 38 J E Webb, Proc SPIE, 2004, 5377, 69 39 J Mulkens, D Flagello, B Streefkerk and P Graupner, Benefits and Limitations of Immersion Lithography, JM3, January 2003 40 W Conley et al., SEMATECH Immersion Lithography Workshop-IBM Almaden Research Ctr, San Jose, CA July 2003 41 R Hung, et al., Proc SPIE, 2001, 4345, 385 42 M Yoshizawa, et al., J Vac Sci Technology B, 2001, 19(6), 2488 43 J R Bryan, et al., Proc SPIE, 2003, 5039, 376 44 M Yoshizawa, et al., Proc SPIE, 2000, 3997, 301 45 M Yoshizawa, et al., J Vac Sci Technology B, 2001, 19, 2488 46 R Hung, et al., Proc SPIE, 2001, 4345, 385 47 Jianming Zhou, Ph.D Thesis Rochester Institute of Technology 2008 48 W Conley et al, SEMATECH Immersion Lithography Workshop-IBM Almaden Research Ctr, San Jose, CA July 2003 49 W Conley et al 1st Intl Symposium on Immersion Lithography, Vancouver, BC August 2004 50 S Kusumoto, et al., Proc SPIE., 5753, 10; S Peng, et al., Proc SPIE., 5754, 427 51 International Technical Roadmap for Semiconductors, SEMATECH, Inc., Austin, Texas, 2001 52 L Harriott, ref 2, 366-374 53 S.Bjorlkholm, Intel Technol J., 3rd Quarter 1998, available at http://www intel.com/technology/itj/q31998.htm 54 H Levinson, IEEE Circuits Dev Mag., 2002, 18, 50 55 A Broers, A Hoole and J Ryan, Microelectron Eng., 1996, 32, 131 56 International Technical Roadmap for Semiconductors, SEMATECH, Inc., Austin, Texas, 2001 57 H Levinson, IEEE Circuits Dev Mag., 2002, 18, 50 58 A Broers, A Hoole and J Ryan, Microelectron Eng., 1996, 32, 131 59 G C Willson, Organic Imaging Materials: A View of the Future Polymer Preprints, 2006, 47(1), 530 60 F Billmeyer, Textbook of Polymer Science, , Wiley-Interscience, New York, 1984pp 154-157 61 G Castellan, Physical Chemistry, Addison-Wesley, Reading MA, 1971, p 578 62 M Issacson and A Murray, J Vac Sci Technol., 1981, 19, 1117 63 A Broers, J Electrochem Soc., 1981, 128, 166 64 C Vieu, F Carcenac, A Pepin, Y Chen, M Mejias, A Lebib, L ManinFerlazzo, L Courad and H Launis, Appl Surf Sci., 2000, 164, 111 65 S Yasin, D Hasko and H Ahmed, Microelectron Eng., 2002, 61–62, 745 66 W Chen and H Ahmed, Appl Phys Lett., 1993, 62, 1499 67 M Yoshizawa, et al., Proc SPIE., 2000, 3997, 301 68 J Fujita, Y Onishi, Y Ochiai and S Matsui, Appl Phys Lett., 1996, 68, 1297 69 A Robinson, R Palmer, T Tada, T Kanayama, M Allen, J Preece and K Harris, J Phys D Appl Phys., 1999, 32, L75 386 | Photochemistry, 2011, 38, 369–387 70 S Bjorlkholm, Intel Technol J., 3rd Quarter 1998, available at http:// www.intel.com/technology/itj/q31998.htm 71 R Dhaliwal, W Enichen, S Golladay, M Gordon, R Kendall, J Lieberman, H Pfeiffer, D Pinckney, C Robinson, J Rockrohr, W Stickel and E Tressler, IBM J Res Devel., 2001, 45, 615 72 G Chumanov, D D Evanoff Jr, I Luzinov, V Klep, B Zdyrko, W Conley and P Zimmerman, Proc SPIE, 2005, 5753, 847 Photochemistry, 2011, 38, 369–387 | 387 .. .Photochemistry Volume 38 A Specialist Periodical Report Photochemistry Volume 38 A Review of the Literature Published between July 2007... 67 67 90 105 105 Organic aspects Alkenes, alkynes, dienes, Takashi Tsuno Photochemistry Photochemistry Photochemistry Photochemistry Photooxidation References polyenes 110 of of of of 110 131... Conclusion References x | Photochemistry, 2011, 38, vii–xi 307 307 311 311 324 325 Prebiotic photochemistry Daniele Dondi, Daniele Merli and Luca Pretali Introduction: why prebiotic photochemistry? Hystorical

Ngày đăng: 13/03/2018, 15:26

Từ khóa liên quan

Mục lục

  • Photochemistry _v38_OFC_Publicity

  • i_iv

  • v_vi

  • vii_xii

  • 010_036

  • 001_009

  • 037_066

  • 067_109

  • 110_142

  • 143_167

  • 168_209

  • 210_233

  • 234_274

  • 275_306

  • 307_329

  • 330_343

  • 344_368

  • 369_388

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan