Nghiên cứu giao tiếp led matrix hiển thị theo bảng chữ cái từ AZ

42 1.2K 0
Nghiên cứu giao tiếp led matrix hiển thị theo bảng chữ cái từ AZ

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Chương I. Giới thiệu các linh kiện và thiết kế mạch điều khiển. 1. Giới thiệu led matrix 8x8 2. Giới thiệu Pic 16f877A 3. Giới thiệu transistor A1015 4. Mạch nguyên lý 5. Mạch mô phỏng 6. Mạch PCB. Chương II. Xây dựng chương trình điều khiển 2.1 Lưu đồ thuật toán 2.2 Lưu đồ thuật toán cho chương trình chính 2.3 Code chương trình 2.4 Kết quả thu được Kết luận Tài liệu tham khảo

Lời mở đầu Ngày nay, khái niệm kỹ thuật số trở thành quen thuộc v ời nhi ều ng ười, b ởi phát triển ngành kĩ thuật số có ảnh h ưởng r ất l ớn đ ến ngành kinh tế toàn cầu Có người nêu lên ý tưởng gọi kinh tế c th ời đại “ kinh kĩ thuật số “ , “ số hóa “, g ần nh v ượt kh ỏi ranh giới thuật ngữ kĩ thuật Nhờ có ưu điểm xử lý số nh đ ộ tin cậy truyền dẫn, tính đa nghi kinh tế nhiều phần m ềm khác nhau, tính tiện lợi điều khiển khai thác mạng Số hóa xu hướng phát triển tất yếu nhiều lĩnh v ực kĩ thu ật kinh tế khác Không lĩnh vực thông tin liên l ạc tin h ọc Ngày nay, kỹ thuật số thâm nhập mạnh mẽ vào kỹ thuật điện t ử, ều khiển tự động, phát truyền hình , y tế, nông nghi ệp c ả dụng cụ sinh hoạt gia đình Và người nhận thấy rằng, ngày nhu cầu sử dụng đèn led vào ứng dụng quảng cáo nhiều cần thiết, để hiểu rõ h ơn nguyên lý hoạt động muốn phát triển mạnh mô hình này, em chọn đề tài cho báo cáo chuyên ngành : “ Nghiên cứu giao tiếp led matrix hiển th ị theo bảng chữ từ A-Z “ Trong trình thực đồ án môn học, với giúp đỡ tận tình c th ầy Th.s Nguyễn Thế Lực bạn lớp, chúng em cố gắng thiết kế cho mô hình hoạt động hoàn thiện nhất, ổn định nh ất Tuy nhiên kiến thức hạn chế kinh nghiệm làm việc không nhiều nên sản phẩm làm gặp nhiều thiếu sót mong thông cảm quý th ầy cô Chúng em xin cảm ơn Chương I Giới thiệu linh kiện thiết kế mạch điều khiển Giới thiệu led matrix 8x8 Giới thiệu Pic 16f877A Giới thiệu transistor A1015 Mạch nguyên lý Mạch mô Mạch PCB Chương II Xây dựng chương trình điều khiển 2.1 Lưu đồ thuật toán 2.2 Lưu đồ thuật toán cho ch ương trình 2.3 Code chương trình 2.4 Kết thu Kết luận Tài liệu tham khảo 1.Giới thiệu Led Matrix 8x8 (PNP) LED MATRIX 8x8 đơn giản 64 LED xếp v ới theo dạng ma trận, thành hàng cột, tức 16 chân Vì m ỗi lo ại LED MATRIX có sơ đồ chân riêng nên bạn tra cứu datasheet c đ ể có th ể l ắp mạch xác nhé! (mà kiểm tra chân LED matrix biết đ ược Trong viết sử dụng LED matrix "row anode", có nghĩa chân điều khiển hàng ma trận cực dương LED Đây hình minh họa: Để LED MATRIX hoạt động, cần cấp dòng điện vào chân ROWs nối chân COLUMNS với GND 1.1.Đây led Matrix 8x8 1.2cấu trúc nguyên lý cấp nguồn cho led Led ma trận bao gồm led đơn xếp thành hàng cột Các led đ ơn hàng nối chung anot (catot) c ột nối chung catot (anot) Do để led có th ể sáng ta ph ải c ấp nguồn cho led sau : cấp mức cao (thấp) cho hàng mức thấp (cao) cho cột Với cách xếp thời điểm ta hiển th ị kí t ự (với hàng cột) ma trận Do để có th ể hiển th ị m ột kí t ự led matrận ta phải lợi dụng tượng lưu ảnh võng mạc Ta ph ải đ ể cho hàng (cột) kí tự kiện thị led ma trận với tần số cao Trước tiên ta phải xác định mức logic cần cấp cho t ừng hàng (c ột) c led ma trận để hiển thị ký tự lưu giá trị logic l ại Sau c ần hiển thị ký tự ta đưa giá trị chân c ấp ngu ồn cho hàng (cột) đồng thời cấp nguồn cho cột (hàng) tương ứng với 1.3 Nguyên tắc tạo font chữ hiển thị Để cụ thể ta xét ví dụ hiển thị chữ R led ma trận 8x8 theo cách quét cột ma trận Do quét theo cột nên ta ph ải xác định m ức logic cần cấp cho tất led cột Giả thiết led hàng mắc chung anot, led cột mắc chung catot Khi n ếu muốn led cột sáng ta phải cấp điện áp m ức cao vào hàng tương ứng 1.4Điều khiển hiển thị led ma trận Để tiện cho việc truy xuất liệu ta khai báo mảng nh Flash Atmega16 lưu liệu Khi địa ch ỉ đ ầu d ữ li ệu mã hóa ký tự gần cách cách Vì v ậy bi ết đ ược v ị trí kí tự font ta xác định địa ch ỉ d ữ liệu mã hóa kí t ự Trên sở ta dễ dàng xuất liệu kí tự cần hiển th ị Sau xác định mức logic cần cấp cho ma trận led ta có th ể tiến hành điều khiển trình cấp nguồn cho led ma trận để có hình ảnh nh ý muốn Việc cấp nguồn cho led ma trận phụ thuộc vào cách mã hóa d ữ liệu (theo hàng hay theo cột ma trận) Nếu liệu mã hóa hàng cột (hàng) thời điểm ta cấp nguồn cho m ột cột (hàng Quá trình điều khiển hiển thị led ma trận 8x8 theo cột (hàng) bao gồm bước sau : - B1: Lấy mẫu liệu : lấy liệu m ức logic cần cấp cho cột (hàng) ma trận- B2: Bắt đầu với cột i=1 Giới thiệu Pic16F887A Giới thiệu cho bạn sơ qua chip PIC16F877A - PIC 16F877A loại vi điều khiển 8bit tầm trung hãng microchip - PIC 16F877A có kiến trúc Havard, sử dụng tập lệnh kiểu RISC (Reduced Instruction Set Computer) với 35 lệnh - Tất lệnh thực chu kì lệnh ngoại tr lệnh rẽ nhánh - Sơ đồ chân với chip loại cắm 40 chân: 2.1 Sơ đồ chân vi điều khiển Pic16f877a 2.2 Một vài thông số vi điều khiển PIC16F877A Đây vi điều khiển thuộc họ PIC16Fxxx với tập lệnh gồm 35 lệnh có đ ộ dài 14 bit Mỗi lệnh thực thi chu kì xung clock T ốc độ hoạt động tối đa cho phép 20MHz với chu kì lệnh 200ns B ộ nh ch ương trình 8Kx14bit, nhớ liệu 368×8 byte RAM nh liệu EEPROM với dung lượng 256×8 byte Số PORT I/O v ới 33 pin I/O Các đặc tính ngoại vi bao gồm khối chức sau: – Timer0: Bộ đếm bit với chia tần số bit – Timer1: Bộ đếm 16 bit với chia tần số, thực ch ức đếm dựa vào xung clock ngoại vi vi điều khiển hoạt đ ộng ch ế đ ộ sleep – Timer2: Bộ đếm bit với chia tần số, postcaler 2.3 Sơ đồ khối vi điều khiển PIC16F877A 2.4 Hình ảnh chi tiết Pic 16F877a 2.5 Một số đặc điểm cấu trúc PORTB vi điều khiển PIC16F877A Ta cần ý đến điểm sau: - PORTB vi điều ển PIC16F877A port điều khiển khác cho phép truyền nhận d ữ liệu theo hai hướng, có nghĩa ta phép đọc xuất liệu port điều ển Hướng truyền nhận thiết lập cách đưa giá trị thích h ợp vào ghi TRISB Mỗi bit ghi điều khiển hướng xuất/nhập cho chân port (bit ghi TRISB điều khiển chân RB7, bit c ghi TRISB điều khiển chân RB6, ) Nếu bit ghi TRISB mang mức logic vi điều khiển hiểu chân điều khiển bit chân xuất liệu ngược lại, bit ghi TRISB mang m ức logic vi điều khiển hiểu chân điều khiển bit chân nh ập d ữ liệu Ví dụ, ta muốn thiết lập chân RB3, RB2, RB1, RB0 c PORTB nh ập, chân PB7, RB6, RB5, RB4 PORTB xuất, giá tr ị t ương ứng đ ưa vào ghi TRISB ‘00001111’ - Dữ liệu nhập vào hay xuất PORTB chứa ghi PORTB Ví dụ, giả sử tất chân c PORTB chân xuất liệu, muốn đưa tất chân PORTB lên mức logic 1, ta việc đưa vào ghi PORTB giá trị ‘11111111’ Nếu tất chân PORTB chân nhập liệu, muốn bi ết đ ược tr ạng Mạch mô Mạch PCB Mạch PCB Chương II Xây dựng chương trình điều khiển 2.1 Lưu đồ thuật toán 2.2 Lưu đồ thuật toán chương trình 2.3 Code cho chương trình Code: PROCESSOR PIC16F877A #INCLUDE CONFIG _HS_OSC&_WDT_OFF&_CP_OFF&_BODEN_OFF CBLOCK 0X20 TEMP1 TEMP2 COUNT W_SAVE STATUS_SAVE PCLATH_SAVE FSR_SAVE ENDC ORG 0X00 GOTO MAIN ORG 0X04 MOVWF W_SAVE SWAPF STATUS,0 MOVWF STATUS_SAVE MOVF PCLATH,0 MOVWF PCLATH_SAVE CLRF PCLATH MOVF FSR,0 MOVWF FSR_SAVE GOTO NGAT ;;;;;;;;;;;;;;;;;;;;;;CHUONG TRINH CHINH;;;;;;;;;;;;;;;;;;;;;;;; ORG 0X10 MAIN BSF STATUS,5 MOVLW 0XFF MOVWF TRISB CLRF TRISC CLRF TRISD BCF OPTION_REG,7 BCF OPTION_REG,6 BSF INTCON,7 BSF INTCON,4 BSF INTCON,3 BCF STATUS,5 CLRF TEMP1 LOOP CLRF TEMP2 MOVLW 0X80 MOVWF PORTC LOOP1 MOVF TEMP1,0 ADDWF TEMP2,0 CALL MANG_MA MOVWF PORTD CALL DELAY MOVLW 0XFF MOVWF PORTD RRF PORTC,1 INCF TEMP2,1 MOVF TEMP2,0 XORLW 0X08 BTFSS STATUS, Z GOTO LOOP1 GOTO LOOP DELAY MOVLW 0XFF MOVWF COUNT DECFSZ COUNT,1 GOTO $-1 RETURN MANG_MA ADDWF PCL,1 DT 0x03,0xDD,0xDE,0xDE,0xDE,0xDD,0x03,0xFF ;A DT 0XFF,0X00,0X76,0X76,0X76,0X76,0X89,0XFF ;B DT 0XFF,0X81,0X7E,0X7E,0X7E,0X7E,0XBD,0XFF ;C DT 0XFF,0X00,0X7E,0X7E,0X7E,0X7E,0X81,0XFF ;D DT 0XFF,0X00,0X76,0X76,0X76,0X76,0X7E,0XFF ;E DT 0XFF,0X00,0XF6,0XF6,0XF6,0XF6,0XFE,0XFF ;F DT 0XFF,0X81,0X7E,0X7E,0X7E,0X6E,0X8D,0XEF ;G DT 0XFF,0X00,0XF7,0XF7,0XF7,0XF7,0X00,0XFF ;H DT 0XFF,0X7E,0X7E,0X00,0X7E,0X7E,0XFF,0XFF ;I DT 0XFF,0X00,0XE7,0XDB,0XBD,0X7E,0XFF,0XFF ;K DT 0XFF,0X00,0X7F,0X7F,0X7F,0X7F,0XFF,0XFF ;L DT 0XFF,0X00,0XFD,0XFB,0XF7,0XFB,0XFD,0X00 ;M DT 0XFF,0X00,0XFD,0XFB,0XF7,0XEF,0X00,0XFF ;N DT 0XFF,0X81,0X7E,0X7E,0X7E,0X7E,0X81,0XFF ;O DT 0XFF,0X00,0XEE,0XEE,0XEE,0XEE,0XF1,0XFF ;P DT 0XFF,0X81,0X7E,0X7E,0X6E,0X5E,0X81,0X7F ;Q DT 0XFF,0X00,0XEE,0XEE,0XCE,0XAE,0X71,0XFF ;R DT 0XFF,0XB9,0X76,0X76,0X76,0X76,0X8D,0XFF ;S DT 0XFE,0XFE,0XFE,0X00,0XFE,0XFE,0XFE,0XFF ;T DT 0XFF,0X80,0X7F,0X7F,0X7F,0X7F,0X80,0XFF ;U DT 0XFF,0XE0,0XDF,0XBF,0X7F,0XBF,0XDF,0XE0 ;V DT 0XFF,0XC0,0XBF,0X7F,0X87,0X7F,0XBF,0XC0 ;W DT 0X7D,0XBB,0XD7,0XEF,0XD7,0XBB,0X7D,0XFF ;X DT 0XFF,0XF8,0XF7,0XEF,0X1F,0XEF,0XF7,0XF8 ;Y DT 0XFF,0X3E,0X5E,0X6E,0X76,0X7A,0X7C,0XFF ;Z ;;;;;;;;;;;;;;;CHUONG TRINH NGAT;;;;;;;;;;;;;;;;;;;;; NGAT CLRF FSR CLRF TEMP2 ;;;;;;;;;;;;;;;KIEM TRA NGAT;;;;;;;;;;;;;;;;;;;;;;;;; BTFSS INTCON,1 GOTO NGAT_RB4 ;;;;;;;;;;;;;;;XU LY NGAT RB0;;;;;;;;;;;;;;;;;;;;;;;; MOVF TEMP1,0 XORLW D'192' BTFSC STATUS,Z GOTO NHAY1 MOVLW D'8' ADDWF TEMP1,1 GOTO THOAT NHAY1 CLRF TEMP1 GOTO THOAT ;;;;;;;;;;;;;XU LY NGAT RB4;;;;;;;;;;;;;;;;;;;;;;;;;;; NGAT_RB4 BTFSS PORTB,4 GOTO THOAT MOVF TEMP1,0 XORLW D'0' BTFSS STATUS,Z GOTO NHAY2 MOVLW D'192' MOVWF TEMP1 GOTO THOAT NHAY2 MOVLW D'8' SUBWF TEMP1,1 THOAT MOVF FSR_SAVE,0 MOVWF FSR MOVF PCLATH_SAVE,0 MOVWF PCLATH SWAPF STATUS_SAVE,0 MOVWF STATUS SWAPF W_SAVE,1 SWAPF W_SAVE,0 BCF INTCON,0 BCF INTCON,1 2.4 Kết thu Kết luận Sau thời gian nỗ lực học tập không ngừng nh đ ược s ự bảo tận tình Th.s Nguyễn Thế Lực thầy cô giáo môn, nhóm chúng em hoàn thành đề tài “ Giao tiếp led Matrix hiển thị theo bảng chữ từ A-Z ” Trong thời gian th ực đề tài chúng em đúc kết nhiều kinh nghiệm cho thân đ ể ph ục vụ cho học tập làm việc sau Mặc dù hoàn thành, có số ưu, nhược điểm sau: • Ưu điểm: - Ta áp dụng vào thực tế • Thiết kế đơn giản, tiết kiệm chi phí Nhược điểm: - Mạch thiết kế chưa tối ưu - Áp dụng thực tế không cao Cuối chúng em xin lần n ữa g ửi l ời c ảm ơn đến th ầy Th.s Nguyễn Thế Lực thầy cô giáo môn giúp đỡ chúng em nhiều trình thực đề tài, chúng em xin chân thành c ảm ơn Tài liệu tham khảo: 1, https://www.youtube.com/watch?v=4S_d00OFUc0 2, http://arduino.vn/bai-viet/256-hien-thi-hinh-anh-voi-led-matrix-8x8 3, http://www.diendientu.com/gioi-thieu-vi-dieu-khien-pic16f877a/ - ... Diode đấu ngược chiều Ba lớp bán dẫn nối thành ba cực , lớp gọi c ực g ốc ký hi ệu B ( Base ), lớp bán dẫn B mỏng có nồng độ tạp chất thấp Hai lớp bán dẫn bên nối thành cực phát ( Emitter ) viết... âm vào chân B kích mở Transistor dẫn dòng làm đèn sáng 3.3 – Cấu tạo Transistor ( Bóng bán dẫn ) Transistor gồm ba lớp bán dẫn ghép với hình thành hai m ối tiếp giáp PN , ghép theo thứ tự PNP... Mạch nguyên lý Mạch mô Mạch PCB Chương II Xây dựng chương trình điều khiển 2.1 Lưu đồ thuật toán 2.2 Lưu đồ thuật toán cho ch ương trình 2.3 Code chương trình 2.4 Kết thu Kết luận Tài liệu tham khảo

Ngày đăng: 29/07/2017, 22:07

Từ khóa liên quan

Mục lục

  • Lời mở đầu

  • Chương I. Giới thiệu các linh kiện và thiết kế mạch điều khiển.

  • Chương II. Xây dựng chương trình điều khiển

  • 1.Giới thiệu Led Matrix 8x8 (PNP)

  • 2.2 Một vài thông số về vi điều khiển PIC16F877A

  • 2.3. Sơ đồ khối vi điều khiển PIC16F877A

  • Chương II. Xây dựng chương trình điều khiển.

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan