thiết kế một mạch giải mã cho led 7 đoạn sử dụng các cổng lôgic

68 6.6K 7
thiết kế một mạch giải mã cho led 7 đoạn sử dụng các cổng lôgic

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đồ án học phần I GVHD: Phm Vn Phi FAI HOC S PHAM KY THUT NAM INH KHOA IấN-IấN T đồ án kỹ thuật số Tờn ờ tai: Thit k mt mch gii mó cho led 7 on Vi yờu cu sau : -Ch s dng cỏc cng lụgic. -Mch tng thớch vi c led 7 on loi anode va catoth chung. -Cú cỏc cụng tc thay i loi led. -Cú mch m BCD dựng IC test mch gii mó ó thit k. -Nu nhp sai mch s bỏo ng. Giao viờn hng dõn: Sinh viờn thc hiờn : Lp : Khoa : SV thực hiện: Lớp: 1 Đồ án học phần I GVHD: Phm Vn Phi Nhận xét của giáo viên hớng dẫn Nam Định, Ngày tháng năm 2010 SV thực hiện: Lớp: 2 Đồ án học phần I GVHD: Phm Vn Phi Nhận xét của giáo viên phản biện Nam Định, Ngày tháng năm 2010 SV thực hiện: Lớp: 3 Đồ án học phần I GVHD: Phm Vn Phi MC LC Chơng 1: Giới thiệu chung 8 1.1. Giới thiệu 8 1.2. Giới hạn đồ án 8 1.3. Mục đích nghiên cứu 8 Chơng 2. Giới thiệu các linh kiện 10 2.1 Mạch lôgic 10 2.2. Cỏc Cụng lụgic 10 2.2.1. Cổng OR ( Cổng hoặc ORGATE ) 10 2.2.2. Cổng NOT ( Cổng đảo NOTGATE ) 12 2.2.3. Cổng AND (Cổng và - AND GATE) 13 2.2.4. Cổng và đảo (NAND gate) 14 2.2.6. Cổng ho c loai tr (EXOR gate) 16 2.2.7. Cổng hoặc loại trừ đảo (EXNOR gate) 17 2.2.8. Cổng đệm (BUFFER gate) 18 2.3 Giới thiệu led 7 đoạn 18 2.4. Gi i thi u chung v IC 20 2.4.1. IC t o xung vuụng 20 2.4. 2 IC ờm ( IC 74LS90 ) 22 2.4.3. IC gi i mó (IC 74LS47) 26 2.4.4. IC ổn áp 27 Chơng 3. Xây dựng mạch 30 3.1. M ch Ngu n 30 3.1.1 Khai niờm vờ mach nguụn cung cõp 30 3.1.2 Mach chinh l u cõu 30 3.1.3. Máy biến áp 32 3.1.4. Cầu nắn 33 3 2. Xây dựng mạch 33 3.2.1 Sơ đồ khối 33 3.2.2. Khối nguồn. 34 1. Khái niệm : 34 2. Tính toán và chọn linh kiện cho phần nguồn AC và DC 34 Nguồn DC : 34 3.2.3 Thiêt kế mạch giải 36 3.2.5 Mạch kiểm tra va báo động 57 SV thực hiện: Lớp: 4 Đồ án học phần I GVHD: Phm Vn Phi 1. so sánh hai mach giải dùng cổng logic va giải ma BCD 57 3.2.6 M ch ho n ch nh 63 3.2.7 S m ch ó thi t k 65 KấT LUN 66 TAI LIấU THAM KHAO 68 SV thực hiện: Lớp: 5 §å ¸n häc phÇn I GVHD: Phạm Văn Phi Lêi më ®Çu  Trong thế kỷ XX nhân loại có những bước phát triển vượt bậc về khoa học kỹ thuật và đóng góp không nhỏ vào sự phát triển đó là sự ra đời của các thiết bị bán dẫn. Các IC đó đã giải quyết được nhiều khó khăn trong lĩnh vực công nghệ và đã mở ra một kỷ nguyên mới của công nghệ , kỷ nguyên “kĩ thuật số”. Bước sang thế kỷ XXI xã hội ngày càng phát triển con người ngày càng có nhu cầu cao trong đời sống. Đòi hỏi đời sống phục vụ con người ngày càng có nhu cầu cao trong cuộc sống. đòi hỏi các phương tiện phục vụ đời sống con người ngày càng phát triển mà cũng vì thế lĩnh vực kỹ thuật số ngày càng phát triển với những ưu điểm vượt trội như các linh kiện nhỏ gọn. Tính tương thích, cao giá thành rẻ. Nên các thiết bị sử dụng kỹ thuật số đã và đang được xã hội đón nhận và sử dụng đặc biệt trong những nghành công nghệ đòi hỏi kỹ thuật cao. Trong lĩnh quân sự và công nghệ thông tin đang dần đưa con người vào cuộc sống mới “cuộc sống số”. Chính vì thế mà môn học “kỹ thuật số” đang được giảng dạy trong các trường ĐH-CĐ, các trung tâm dạy nghề được coi là môn học có vai trò hết sức quan trọng giúp cho các sinh viên có thể tự tin bước vào cuộc sống mới “cuộc sông số”. Môn học kỹ thuật số là một môn khoa học nó đã giúp cho các sinh viên có được những kiến thức cơ bản nhất về kỹ thuật số. Về những phần tử bán dẫn những con IC, giúp cho sinh viên hiểu và nắm giữ được những nguyên lý cơ bản của thiết bị số qua đó có thể thiết kế, sửa chữa được những thiết bị số đơn giản. Giúp sinh viên có năng lực và tự tin bước vào cuộc sống. SV thùc hiÖn: Líp: 6 §å ¸n häc phÇn I GVHD: Phạm Văn Phi Là sinh viên khoa Điện-Điện Tử trường ĐH Phạm Kỹ Thuật Nam Định đang được theo học môn học kỹ thuật số với kiến thức đã được học em đang thực hiện đề tài: “ Thiết kế một mạch giải cho led 7 đoạn chỉ sử dụng các cổng lôgic”. Với sự hướng dẫn của giáo viên Phạm Văn Phi Do khả năng và kiến thức về môn học còn hạn chế nên trong quá trình thực hiện em đã gặp nhiều khó khăn nhưng với nhưng với lòng quyết tâm và sự giúp đỡ của thầy cô giáo và các bạn em đã hoàn thành được đề tài của mình song chắc vẫn còn nhiều thiếu sót. Rất mong được sự đóng góp ý kiến của thầy cô giáo và các bạn để bài viết em được hoàn thiện hơn. Em xin chân thành cảm ơn. Nam Định , ngày tháng năm2010 Sinh viên thực hiện: Nguyễn Ngọc Long SV thùc hiÖn: Líp: 7 Đồ án học phần I GVHD: Phm Vn Phi Chơng 1: Giới thiệu chung 1.1. Giới thiệu Ngày nay khoa học kỹ thuật phát triển mạnh mẽ, đặc biệt là trong lĩnh vực điện tử đã góp phần nâng cao năng suất lao động, giảm nhẹ sức lao động chân tay cho con ngời và góp phần nâng cao đời sống vật chất cũng nh tinh thần cho con ngời. Để đáp ứng đợc yêu cầu điều khiển quạt bàn và hiển thị hẹn giờ thì có nhiều phơng pháp thực hiện, nghiên cứu khảo sát vi điều khiển 8051 nhóm thực hiện thấy rằng: ứng dụng vi điều khiển 8051 vào việc điều khiển quạt bàn là phơng pháp tối u nhất. Xuất phát từ nhu cầu phục vụ đời sống con ngời ngày càng tốt hơn, đợc sự đồng ý của khoa Điện- Điện tử trờng Đại học S Phạm Kỹ Thuật Nam Định. Nhóm chúng em quyết định chọn đề tài Thiết kế mạch giải led 7 doạn. 1.2. Giới hạn đồ án - chỉ sử dụng các cổng logic - mạch tơng thíc với cả led 7 đoạn dùng Anode chung và catoth chung - mạchcác công tắc để thay đổi loại led - có mạch đếm dùng BCD sử dụng IC để test mạch giải thiết kế - nếu nhập sai mạch sẽ bao động 1.3. Mục đích nghiên cứu đề tài Thiết kế mạch giải led 7 đoạn . nhằm giúp những ngời thực hiện đề tài nắm bắt đợc những vấn đề sau: - Thông qua việc thực hiện đề tài giúp cho những ngời thực hiện đồ án môn học ôn lại những kiến thức đã học và lĩnh hội thêm đợc những kiến thức mới từ giáo viên hớng dẫn, từ các bạn sinh viên và cũng là khoảng thời gian để rèn luyện tay nghề từ đó hiểu rõ hơn cách hoạt động của các công logic. SV thực hiện: Lớp: 8 Đồ án học phần I GVHD: Phm Vn Phi - Qua quá trình thực hiện đề tài đã tạo điều kiện cho những ngời thực hiện đồ án môn học có những ý tởng mới và giải quyết các vấn đề phát sinh một cách có hiệu quả. - giúp cho những ngời thực hiện đề tài biết vận dụng việc tính toán mạch điện giữa lý thuyết và thực tế, sao cho mạch hoạt động ổn định, kết cấu đơn giản và chi phí thấp. Do những điều kiện khách quan cũng nh chủ quan của những ngời làm đồ án sản phẩm làm ra có thể cha có tính thực tiễn cao nhng nếu đợc nghiên cứu đi sâu hơn thì có thể ứng dụng vào trong các ứng dụng thực tế. SV thực hiện: Lớp: 9 Đồ án học phần I GVHD: Phm Vn Phi Chơng 2. Giới thiệu các linh kiện 2.1 Mạch lôgic - Là mạch đợc cấu tạo bởi một tổ hợp các cổng logic (AND, OR, ) d ới dạng số nhị phân 0 và 1, tổ hợp các cổng này phục vụ thuật toán của đề tài đa ra. Phơng pháp đơn giản ở đây là dùng đại số Boolean và cách rút gọn nhanh chóng bằng bìa Karnaugh. - Đầu ra là các mức logic: + Nếu ta biểu diễn mức logic 1 có mức điện thế cao hơn mức logic 0 ta có mức logic dơng (+). + Nếu ta biểu diễn mức logic 1 có mức điện thế thấp hơn mức logic 0 ta có mức logic âm (-). Mức logic dơng (+) 2.2. Cỏc Cụng lụgic 2.2.1. Cổng OR ( Cổng hoặc ORGATE ) *inh nghia: cụng OR la cụng logic thc hiờn thuõt toan cụng hai biờn õu vao -Ham quan hờ: Tụng quat: Y=A+B+ +N SV thực hiện: Lớp: 10 Mức cao Mức thấp Thời gian tồn tại của một bit t L v [...]... 1 Cổng đệm hoạt động theo bảng chân lý trên, khi đầu vào = 1 thì đầu ra = 1 và khi đầu vào = 0 thì Y = 0 2.3 Giới thiệu led 7 đoạn LED 7 thanh là một loại đèn hiển thị Trong thực tế, LED 7 thanh dùng làm cơ cấu quan sát hiển thị các con số trong hệ thập phân.Trong một số trờng hợp đặc biệt có thể dùng để hiển thị các hệ HEX và các kí tự Cấu tạo của LED 7 thanh bao gồm 8 LED phát quang đợc gọi là các. .. chung: gồm các diode phát quang đợc đấu chung các đầu anode hoặc cathode lại với nhau và đợc sắp xếp theo hình số 8 các đầu còn lại đợc đa ra ngoài làm các đầu vào Đối với loại Cathode chung thì chân Cathode nối xuống mass (0V), còn các chân a, b, c, d, e, f, g, dp điều khiển sao cho: + Nếu = 0 thì các thanh tối: Nếu = 1 thì các thanh sáng * Thiết kế mạch - Mạch giải bao giờ cũng đợc đặt sau mạch đếm... tức thời bên thứ cấp MBA2 là: i 2 =80 2 sin( 0 t)(A) 3.2.3 Thiêt kế mạch giải Mỗi chữ số đợc hiển thị dựa vào vi trí sáng của led Việc hiển thị các led cho đúng vị trí đèn từ 0 đến 9 , ta thiết kế mạch giải ma 4 đầu vào và 7 đầu ra * Bớc 1 -tại sao lại cần 4 đâu vào.vì các chữ số từ 0 đến 9 ta cần ít nhất 4 byte trong hệ nhị phân -7 đầu ra tơng ứng đợc đánh số từ 0 đến 6 nh hình vẽ SV thực hiện:... thông số IC 78 05 * S ụ cõu truc IC7805 : SV thực hiện: 28 Lớp: Đồ án học phần I 1 GVHD: Phm Vn Phi Uv Dz 2 Q4 Q2 Dz 1 Q1 R4 R0 Q5 R3 Q3 R5 Vr R2 3 R1 4 2 Ur Mạch ổn áp dùng IC ổn áp đơn giản và hiệu quả nên đợc sử dụng rất rộng rãi và ngời ta đã sản xuất các loại IC họ LM78xx để thuận tiện trong việc sử dụng * Những dạng seri của 78 xx LA7805 IC ổn áp 5V LA7808 IC ổn áp 8V LA7809 IC ổn áp 9V LA7812 IC ổn... quang đợc gọi là các thanh, lần lợt là a, b, c, d, e, f, g, dp ( dấu chấm) LED 7 thanh có 2 loai là Anodechung và Cathode chung LED 7 thanh còn đợc phân biệt bởi mằu sắc và kích cỡ của các đoạn hiển thị a Sơ đồ, vị trí Các thanh LED 7 thanh a SV thực hiện: 18 Lớp: Đồ án học phần I GVHD: Phm Vn Phi f b g e c d dp *Các dạng các LED 7 thanh + Dạng anode chung (đầu vào tác động mức thấp) + Dạng cathode chung... thấp SV thực hiện: 17 Lớp: Đồ án học phần I GVHD: Phm Vn Phi 2.2.8 Cổng đệm (BUFFER gate) a Định nghĩa: - Cổng đệm có tác dụng cho tín hiệu đi qua không làm thay đổi dạng sóng của tín hiệu truyền qua nó - Tức là: Y = A - Cổng đệm dùng trong trờng hợp khi ta cần một dòng điện thúc cho tải tơng đối lớn, trị số của nó vợt qua khả năng tải dòng của IC logic thì ta cần phải lắp thêm một cổng đệm làm trung... lu một pha cầu không điều khiển: Cầu diode Với mạch lọc : sử dụng tụ điện một chiều có điện dung lớn Vd: 2200uF Với mạch ổn áp thì ta cũng có nhiều phơng pháp :ổn áp bù, ổn áp dùng IC chuyên dụngtrong đó có ổn áp nguồn âm, nguồn d ơng, nguồn đối xứngở đây ta chọn phơng pháp ổn áp nguồn dơng bằng IC chuyên dụng: 78 xx trong đó xx là giá trị điện áp ở đầu ra của IC Tuỳ theo giá trị cụ thể của từng mạch. .. Chõn 7, 6,1,2 Cac chõn õu vao ma nhi phõn BDC Chõn 13,12,11,10,9,15,14 la 7 chõn õu ra tich cc mc thõp tng ng vi cac thanh a,b,c,d,e,f,g cua Led 7 oan Chõn 3 LT_L ( Lamp Test input): Kiờm tra Led Chõn 4 BI/RBO_L (Blanking Input or Ripple-Blanking Output): Xoa ngõ vao Chõn 5 RBI_L (Ripple-Blanking Input): Xoa gn song nga vao - S ụ cõu truc bờn trong cua IC 74 ls 47: 2.4.4 IC ổn áp Mạch điện chỉ sử dụng. .. trị cụ thể của từng mạch điện áp một chiều là khác nhau, tuy nhiên giá tri điện áp thờng dùng trong các mạch là 5V, 9V, 12V nên IC ổn áp sẽ là 78 05, 78 09, 78 12 Sau đây ta sẽ tiến hành khảo sát việc tạo ra các nguồn này: a) Tính điện áp MBA: *) Nguồn 5 VDC( chuẩn TTL ) Thông số của mba cũng đợc tính: Giá trị U DC đợc đa vào IC ổn áp 78 05 là điện áp trung bình của mạch chỉnh lu cầu U av , giá trị này... Thiết kế mạch - Mạch giải bao giờ cũng đợc đặt sau mạch đếm nhị phân và đặt trớc khối hiển thị - Các đầu vào là nhị phân 4bit có 6 tổ hợp (1010 -> 1111) không đợc sử dụng nhng ta cần phải nhớ để tối thiểu hoá hàm Boolean tín hiệu ra của bộ giải các bit: a, b, c, d, e, f, g dùng để kích thích LED 7 thanh hoạt động 2.4 Gii thiu chung v IC 2.4.1 IC to xung vuụng ờ cac IC sụ hoat ụng c thi viờc . thay đổi loại led - có mạch đếm dùng BCD sử dụng IC để test mạch giải mã dã thiết kế - nếu nhập sai mạch sẽ bao động 1.3. Mục đích nghiên cứu đề tài Thiết kế mạch giải mã led 7 đoạn . nhằm giúp. định chọn đề tài Thiết kế mạch giải mã led 7 doạn. 1.2. Giới hạn đồ án - chỉ sử dụng các cổng logic - mạch tơng thíc với cả led 7 đoạn dùng Anode chung và catoth chung - mạch có các công tắc. với kiến thức đã được học em đang thực hiện đề tài: “ Thiết kế một mạch giải mã cho led 7 đoạn chỉ sử dụng các cổng lôgic . Với sự hướng dẫn của giáo viên Phạm Văn Phi Do khả năng

Ngày đăng: 05/05/2014, 14:05

Từ khóa liên quan

Mục lục

  • Chương 1: Giới thiệu chung

    • 1.1. Giới thiệu

    • 1.2. Giới hạn đồ án

    • 1.3. Mục đích nghiên cứu

  • Chương 2. Giới thiệu các linh kiện

    • 2.1 Mạch lôgic

    • 2.2. Cỏc Cụng lụgic

      • 2.2.1. Cổng OR ( Cổng hoặc ORGATE )

      • 2.2.2. Cổng NOT ( Cổng đảo NOTGATE )

      • 2.2.3. Cổng AND (Cổng và - AND GATE)

      • 2.2.4. Cổng và đảo (NAND gate)

      • 2.2.6. Cổng hoc loai tr (EXOR gate)

      • 2.2.7. Cổng hoặc loại trừ đảo (EXNOR gate)

      • 2.2.8. Cổng đệm (BUFFER gate)

    • 2.3 Giới thiệu led 7 đoạn

    • 2.4. Gii thiu chung v IC

      • 2.4.1. IC to xung vuụng

      • 2.4. 2 IC ờm ( IC 74LS90 )

      • 2.4.3. IC gii mó (IC 74LS47)

      • 2.4.4. IC ổn áp

  • Chương 3. Xây dựng mạch

    • 3.1. Mch Ngun

      • 3.1.1 Khai niờm vờ mach nguụn cung cõp

      • 3.1.2 Mach chinh lu cõu

      • 3.1.3. Máy biến áp

      • 3.1.4. Cầu nắn

    • 3..2. Xây dựng mạch

      • 3.2.1 Sơ đồ khối

      • 3.2.2. Khối nguồn.

      • 1. Khái niệm :

    • 2. Tính toán và chọn linh kiện cho phần nguồn AC và DC

      • Nguồn DC :

      • 3.2.3 Thiêt kế mạch giải mã

    • 3.2.5 Mạch kiểm tra va báo động

      • 1. so sánh hai mach giải mã dùng cổng logic va giải ma BCD

      • 3.2.6 Mch hon chnh

      • 3.2.7 S mch ó thit k

  • KấT LUN

  • TAI LIấU THAM KHAO

Tài liệu cùng người dùng

Tài liệu liên quan